OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [Virtex 4/] [DP-LAU/] [exp_lut_MEM.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: exp_lut_MEM.vhd
10
-- /___/   /\     Timestamp: Tue Sep 22 14:13:07 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\exp_lut_MEM.ngc" "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\exp_lut_MEM.vhd" 
15
-- Device       : 4vsx55ff1148-12
16
-- Input file   : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/exp_lut_MEM.ngc
17
-- Output file  : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/exp_lut_MEM.vhd
18
-- # of Entities        : 1
19
-- Design Name  : exp_lut_MEM
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity exp_lut_MEM is
44
  port (
45
    clka : in STD_LOGIC := 'X';
46
    addra : in STD_LOGIC_VECTOR ( 9 downto 0 );
47
    douta : out STD_LOGIC_VECTOR ( 12 downto 0 )
48
  );
49
end exp_lut_MEM;
50
 
51
architecture STRUCTURE of exp_lut_MEM is
52
  signal BU2_N1 : STD_LOGIC;
53
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
54
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
55
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED : STD_LOGIC;
56
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED : STD_LOGIC;
57
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED : STD_LOGIC;
58
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED : STD_LOGIC;
59
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED : STD_LOGIC;
60
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED : STD_LOGIC;
61
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED : STD_LOGIC;
62
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED : STD_LOGIC;
63
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED : STD_LOGIC;
64
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED : STD_LOGIC;
65
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED : STD_LOGIC;
66
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED : STD_LOGIC;
67
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED : STD_LOGIC;
68
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED : STD_LOGIC;
69
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED : STD_LOGIC;
70
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED : STD_LOGIC;
71
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED : STD_LOGIC;
72
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED : STD_LOGIC;
73
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED : STD_LOGIC;
74
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED : STD_LOGIC;
75
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_7_UNCONNECTED : STD_LOGIC;
76
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED : STD_LOGIC;
77
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED : STD_LOGIC;
78
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED : STD_LOGIC;
79
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED : STD_LOGIC;
80
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED : STD_LOGIC;
81
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED : STD_LOGIC;
82
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED : STD_LOGIC;
83
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED : STD_LOGIC;
84
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED : STD_LOGIC;
85
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED : STD_LOGIC;
86
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED : STD_LOGIC;
87
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED : STD_LOGIC;
88
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED : STD_LOGIC;
89
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED : STD_LOGIC;
90
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED : STD_LOGIC;
91
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED : STD_LOGIC;
92
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED : STD_LOGIC;
93
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED : STD_LOGIC;
94
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED : STD_LOGIC;
95
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED : STD_LOGIC;
96
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED : STD_LOGIC;
97
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED : STD_LOGIC;
98
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED : STD_LOGIC;
99
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED : STD_LOGIC;
100
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED : STD_LOGIC;
101
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED : STD_LOGIC;
102
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED : STD_LOGIC;
103
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED : STD_LOGIC;
104
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED : STD_LOGIC;
105
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED : STD_LOGIC;
106
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED : STD_LOGIC;
107
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED : STD_LOGIC;
108
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED : STD_LOGIC;
109
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED : STD_LOGIC;
110
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED : STD_LOGIC;
111
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_0_UNCONNECTED : STD_LOGIC;
112
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED : STD_LOGIC;
113
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED : STD_LOGIC;
114
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED : STD_LOGIC;
115
  signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED : STD_LOGIC;
116
  signal addra_2 : STD_LOGIC_VECTOR ( 9 downto 0 );
117
  signal douta_3 : STD_LOGIC_VECTOR ( 12 downto 0 );
118
  signal BU2_doutb : STD_LOGIC_VECTOR ( 0 downto 0 );
119
begin
120
  addra_2(9) <= addra(9);
121
  addra_2(8) <= addra(8);
122
  addra_2(7) <= addra(7);
123
  addra_2(6) <= addra(6);
124
  addra_2(5) <= addra(5);
125
  addra_2(4) <= addra(4);
126
  addra_2(3) <= addra(3);
127
  addra_2(2) <= addra(2);
128
  addra_2(1) <= addra(1);
129
  addra_2(0) <= addra(0);
130
  douta(12) <= douta_3(12);
131
  douta(11) <= douta_3(11);
132
  douta(10) <= douta_3(10);
133
  douta(9) <= douta_3(9);
134
  douta(8) <= douta_3(8);
135
  douta(7) <= douta_3(7);
136
  douta(6) <= douta_3(6);
137
  douta(5) <= douta_3(5);
138
  douta(4) <= douta_3(4);
139
  douta(3) <= douta_3(3);
140
  douta(2) <= douta_3(2);
141
  douta(1) <= douta_3(1);
142
  douta(0) <= douta_3(0);
143
  VCC_0 : VCC
144
    port map (
145
      P => NLW_VCC_P_UNCONNECTED
146
    );
147
  GND_1 : GND
148
    port map (
149
      G => NLW_GND_G_UNCONNECTED
150
    );
151
  BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP : RAMB16
152
    generic map(
153
      DOA_REG => 0,
154
      DOB_REG => 0,
155
      INIT_A => X"000000000",
156
      INIT_B => X"000000000",
157
      INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
158
      INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
159
      INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
160
      INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
161
      INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
162
      INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
163
      SRVAL_A => X"000000000",
164
      INIT_00 => X"2370237123722373237423752376237723782379237A237B237C237D237E237F",
165
      INIT_01 => X"2360236123622363236423652366236723682369236A236B236C236D236E236F",
166
      INIT_02 => X"2350235123522353235423552356235723582359235A235B235C235D235E235F",
167
      INIT_03 => X"2340234123422343234423452346234723482349234A234B234C234D234E234F",
168
      INIT_04 => X"2330233123322333233423352336233723382339233A233B233C233D233E233F",
169
      INIT_05 => X"2320232123222323232423252326232723282329232A232B232C232D232E232F",
170
      INIT_06 => X"2310231123122313231423152316231723182319231A231B231C231D231E231F",
171
      INIT_07 => X"2300230123022303230423052306230723082309230A230B230C230D230E230F",
172
      INIT_08 => X"2270227122722273227422752276227722782279227A227B227C227D227E227F",
173
      INIT_09 => X"2260226122622263226422652266226722682269226A226B226C226D226E226F",
174
      INIT_0A => X"2250225122522253225422552256225722582259225A225B225C225D225E225F",
175
      INIT_0B => X"2240224122422243224422452246224722482249224A224B224C224D224E224F",
176
      INIT_0C => X"2230223122322233223422352236223722382239223A223B223C223D223E223F",
177
      INIT_0D => X"2220222122222223222422252226222722282229222A222B222C222D222E222F",
178
      INIT_0E => X"2210221122122213221422152216221722182219221A221B221C221D221E221F",
179
      INIT_0F => X"2200220122022203220422052206220722082209220A220B220C220D220E220F",
180
      INIT_10 => X"2170217121722173217421752176217721782179217A217B217C217D217E217F",
181
      INIT_11 => X"2160216121622163216421652166216721682169216A216B216C216D216E216F",
182
      INIT_12 => X"2150215121522153215421552156215721582159215A215B215C215D215E215F",
183
      INIT_13 => X"2140214121422143214421452146214721482149214A214B214C214D214E214F",
184
      INIT_14 => X"2130213121322133213421352136213721382139213A213B213C213D213E213F",
185
      INIT_15 => X"2120212121222123212421252126212721282129212A212B212C212D212E212F",
186
      INIT_16 => X"2110211121122113211421152116211721182119211A211B211C211D211E211F",
187
      INIT_17 => X"2100210121022103210421052106210721082109210A210B210C210D210E210F",
188
      INIT_18 => X"2070207120722073207420752076207720782079207A207B207C207D207E207F",
189
      INIT_19 => X"2060206120622063206420652066206720682069206A206B206C206D206E206F",
190
      INIT_1A => X"2050205120522053205420552056205720582059205A205B205C205D205E205F",
191
      INIT_1B => X"2040204120422043204420452046204720482049204A204B204C204D204E204F",
192
      INIT_1C => X"2030203120322033203420352036203720382039203A203B203C203D203E203F",
193
      INIT_1D => X"2020202120222023202420252026202720282029202A202B202C202D202E202F",
194
      INIT_1E => X"2010201120122013201420152016201720182019201A201B201C201D201E201F",
195
      INIT_1F => X"2000200120022003200420052006200720082009200A200B200C200D200E200F",
196
      INIT_20 => X"1F601F621F641F661F681F6A1F6C1F6E1F701F721F741F761F781F7A1F7C1F7E",
197
      INIT_21 => X"1F401F421F441F461F481F4A1F4C1F4E1F501F521F541F561F581F5A1F5C1F5E",
198
      INIT_22 => X"1F201F221F241F261F281F2A1F2C1F2E1F301F321F341F361F381F3A1F3C1F3E",
199
      INIT_23 => X"1F001F021F041F061F081F0A1F0C1F0E1F101F121F141F161F181F1A1F1C1F1E",
200
      INIT_24 => X"1E601E621E641E661E681E6A1E6C1E6E1E701E721E741E761E781E7A1E7C1E7E",
201
      INIT_25 => X"1E401E421E441E461E481E4A1E4C1E4E1E501E521E541E561E581E5A1E5C1E5E",
202
      INIT_26 => X"1E201E221E241E261E281E2A1E2C1E2E1E301E321E341E361E381E3A1E3C1E3E",
203
      INIT_27 => X"1E001E021E041E061E081E0A1E0C1E0E1E101E121E141E161E181E1A1E1C1E1E",
204
      INIT_28 => X"1D601D621D641D661D681D6A1D6C1D6E1D701D721D741D761D781D7A1D7C1D7E",
205
      INIT_29 => X"1D401D421D441D461D481D4A1D4C1D4E1D501D521D541D561D581D5A1D5C1D5E",
206
      INIT_2A => X"1D201D221D241D261D281D2A1D2C1D2E1D301D321D341D361D381D3A1D3C1D3E",
207
      INIT_2B => X"1D001D021D041D061D081D0A1D0C1D0E1D101D121D141D161D181D1A1D1C1D1E",
208
      INIT_2C => X"1C601C621C641C661C681C6A1C6C1C6E1C701C721C741C761C781C7A1C7C1C7E",
209
      INIT_2D => X"1C401C421C441C461C481C4A1C4C1C4E1C501C521C541C561C581C5A1C5C1C5E",
210
      INIT_2E => X"1C201C221C241C261C281C2A1C2C1C2E1C301C321C341C361C381C3A1C3C1C3E",
211
      INIT_2F => X"1C001C021C041C061C081C0A1C0C1C0E1C101C121C141C161C181C1A1C1C1C1E",
212
      INIT_30 => X"1B401B441B481B4C1B501B541B581B5C1B601B641B681B6C1B701B741B781B7C",
213
      INIT_31 => X"1B001B041B081B0C1B101B141B181B1C1B201B241B281B2C1B301B341B381B3C",
214
      INIT_32 => X"1A401A441A481A4C1A501A541A581A5C1A601A641A681A6C1A701A741A781A7C",
215
      INIT_33 => X"1A001A041A081A0C1A101A141A181A1C1A201A241A281A2C1A301A341A381A3C",
216
      INIT_34 => X"194019441948194C195019541958195C196019641968196C197019741978197C",
217
      INIT_35 => X"190019041908190C191019141918191C192019241928192C193019341938193C",
218
      INIT_36 => X"184018441848184C185018541858185C186018641868186C187018741878187C",
219
      INIT_37 => X"180018041808180C181018141818181C182018241828182C183018341838183C",
220
      INIT_38 => X"1700170817101718172017281730173817401748175017581760176817701778",
221
      INIT_39 => X"1600160816101618162016281630163816401648165016581660166816701678",
222
      INIT_3A => X"1500150815101518152015281530153815401548155015581560156815701578",
223
      INIT_3B => X"1400140814101418142014281430143814401448145014581460146814701478",
224
      INIT_3C => X"1200121012201230124012501260127013001310132013301340135013601370",
225
      INIT_3D => X"1000101010201030104010501060107011001110112011301140115011601170",
226
      INIT_3E => X"0C000C200C400C600D000D200D400D600E000E200E400E600F000F200F400F60",
227
      INIT_3F => X"00003C0000000200040005000600070008000840090009400A000A400B000B40",
228
      INIT_FILE => "NONE",
229
      INVERT_CLK_DOA_REG => FALSE,
230
      INVERT_CLK_DOB_REG => FALSE,
231
      RAM_EXTENSION_A => "NONE",
232
      RAM_EXTENSION_B => "NONE",
233
      READ_WIDTH_A => 18,
234
      READ_WIDTH_B => 18,
235
      SIM_COLLISION_CHECK => "ALL",
236
      INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
237
      INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
238
      WRITE_MODE_A => "WRITE_FIRST",
239
      WRITE_MODE_B => "WRITE_FIRST",
240
      WRITE_WIDTH_A => 18,
241
      WRITE_WIDTH_B => 18,
242
      SRVAL_B => X"000000000"
243
    )
244
    port map (
245
      CASCADEINA => BU2_doutb(0),
246
      CASCADEINB => BU2_doutb(0),
247
      CLKA => clka,
248
      CLKB => BU2_doutb(0),
249
      ENA => BU2_N1,
250
      REGCEA => BU2_doutb(0),
251
      REGCEB => BU2_doutb(0),
252
      ENB => BU2_doutb(0),
253
      SSRA => BU2_doutb(0),
254
      SSRB => BU2_doutb(0),
255
      CASCADEOUTA => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTA_UNCONNECTED,
256
      CASCADEOUTB => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_CASCADEOUTB_UNCONNECTED,
257
      ADDRA(14) => BU2_doutb(0),
258
      ADDRA(13) => addra_2(9),
259
      ADDRA(12) => addra_2(8),
260
      ADDRA(11) => addra_2(7),
261
      ADDRA(10) => addra_2(6),
262
      ADDRA(9) => addra_2(5),
263
      ADDRA(8) => addra_2(4),
264
      ADDRA(7) => addra_2(3),
265
      ADDRA(6) => addra_2(2),
266
      ADDRA(5) => addra_2(1),
267
      ADDRA(4) => addra_2(0),
268
      ADDRA(3) => BU2_doutb(0),
269
      ADDRA(2) => BU2_doutb(0),
270
      ADDRA(1) => BU2_doutb(0),
271
      ADDRA(0) => BU2_doutb(0),
272
      ADDRB(14) => BU2_doutb(0),
273
      ADDRB(13) => BU2_doutb(0),
274
      ADDRB(12) => BU2_doutb(0),
275
      ADDRB(11) => BU2_doutb(0),
276
      ADDRB(10) => BU2_doutb(0),
277
      ADDRB(9) => BU2_doutb(0),
278
      ADDRB(8) => BU2_doutb(0),
279
      ADDRB(7) => BU2_doutb(0),
280
      ADDRB(6) => BU2_doutb(0),
281
      ADDRB(5) => BU2_doutb(0),
282
      ADDRB(4) => BU2_doutb(0),
283
      ADDRB(3) => BU2_doutb(0),
284
      ADDRB(2) => BU2_doutb(0),
285
      ADDRB(1) => BU2_doutb(0),
286
      ADDRB(0) => BU2_doutb(0),
287
      DIA(31) => BU2_doutb(0),
288
      DIA(30) => BU2_doutb(0),
289
      DIA(29) => BU2_doutb(0),
290
      DIA(28) => BU2_doutb(0),
291
      DIA(27) => BU2_doutb(0),
292
      DIA(26) => BU2_doutb(0),
293
      DIA(25) => BU2_doutb(0),
294
      DIA(24) => BU2_doutb(0),
295
      DIA(23) => BU2_doutb(0),
296
      DIA(22) => BU2_doutb(0),
297
      DIA(21) => BU2_doutb(0),
298
      DIA(20) => BU2_doutb(0),
299
      DIA(19) => BU2_doutb(0),
300
      DIA(18) => BU2_doutb(0),
301
      DIA(17) => BU2_doutb(0),
302
      DIA(16) => BU2_doutb(0),
303
      DIA(15) => BU2_doutb(0),
304
      DIA(14) => BU2_doutb(0),
305
      DIA(13) => BU2_doutb(0),
306
      DIA(12) => BU2_doutb(0),
307
      DIA(11) => BU2_doutb(0),
308
      DIA(10) => BU2_doutb(0),
309
      DIA(9) => BU2_doutb(0),
310
      DIA(8) => BU2_doutb(0),
311
      DIA(7) => BU2_doutb(0),
312
      DIA(6) => BU2_doutb(0),
313
      DIA(5) => BU2_doutb(0),
314
      DIA(4) => BU2_doutb(0),
315
      DIA(3) => BU2_doutb(0),
316
      DIA(2) => BU2_doutb(0),
317
      DIA(1) => BU2_doutb(0),
318
      DIA(0) => BU2_doutb(0),
319
      DIB(31) => BU2_doutb(0),
320
      DIB(30) => BU2_doutb(0),
321
      DIB(29) => BU2_doutb(0),
322
      DIB(28) => BU2_doutb(0),
323
      DIB(27) => BU2_doutb(0),
324
      DIB(26) => BU2_doutb(0),
325
      DIB(25) => BU2_doutb(0),
326
      DIB(24) => BU2_doutb(0),
327
      DIB(23) => BU2_doutb(0),
328
      DIB(22) => BU2_doutb(0),
329
      DIB(21) => BU2_doutb(0),
330
      DIB(20) => BU2_doutb(0),
331
      DIB(19) => BU2_doutb(0),
332
      DIB(18) => BU2_doutb(0),
333
      DIB(17) => BU2_doutb(0),
334
      DIB(16) => BU2_doutb(0),
335
      DIB(15) => BU2_doutb(0),
336
      DIB(14) => BU2_doutb(0),
337
      DIB(13) => BU2_doutb(0),
338
      DIB(12) => BU2_doutb(0),
339
      DIB(11) => BU2_doutb(0),
340
      DIB(10) => BU2_doutb(0),
341
      DIB(9) => BU2_doutb(0),
342
      DIB(8) => BU2_doutb(0),
343
      DIB(7) => BU2_doutb(0),
344
      DIB(6) => BU2_doutb(0),
345
      DIB(5) => BU2_doutb(0),
346
      DIB(4) => BU2_doutb(0),
347
      DIB(3) => BU2_doutb(0),
348
      DIB(2) => BU2_doutb(0),
349
      DIB(1) => BU2_doutb(0),
350
      DIB(0) => BU2_doutb(0),
351
      DIPA(3) => BU2_doutb(0),
352
      DIPA(2) => BU2_doutb(0),
353
      DIPA(1) => BU2_doutb(0),
354
      DIPA(0) => BU2_doutb(0),
355
      DIPB(3) => BU2_doutb(0),
356
      DIPB(2) => BU2_doutb(0),
357
      DIPB(1) => BU2_doutb(0),
358
      DIPB(0) => BU2_doutb(0),
359
      WEA(3) => BU2_doutb(0),
360
      WEA(2) => BU2_doutb(0),
361
      WEA(1) => BU2_doutb(0),
362
      WEA(0) => BU2_doutb(0),
363
      WEB(3) => BU2_doutb(0),
364
      WEB(2) => BU2_doutb(0),
365
      WEB(1) => BU2_doutb(0),
366
      WEB(0) => BU2_doutb(0),
367
      DOA(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_31_UNCONNECTED,
368
      DOA(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_30_UNCONNECTED,
369
      DOA(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_29_UNCONNECTED,
370
      DOA(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_28_UNCONNECTED,
371
      DOA(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_27_UNCONNECTED,
372
      DOA(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_26_UNCONNECTED,
373
      DOA(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_25_UNCONNECTED,
374
      DOA(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_24_UNCONNECTED,
375
      DOA(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_23_UNCONNECTED,
376
      DOA(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_22_UNCONNECTED,
377
      DOA(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_21_UNCONNECTED,
378
      DOA(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_20_UNCONNECTED,
379
      DOA(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_19_UNCONNECTED,
380
      DOA(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_18_UNCONNECTED,
381
      DOA(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_17_UNCONNECTED,
382
      DOA(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_16_UNCONNECTED,
383
      DOA(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_15_UNCONNECTED,
384
      DOA(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_14_UNCONNECTED,
385
      DOA(13) => douta_3(12),
386
      DOA(12) => douta_3(11),
387
      DOA(11) => douta_3(10),
388
      DOA(10) => douta_3(9),
389
      DOA(9) => douta_3(8),
390
      DOA(8) => douta_3(7),
391
      DOA(7) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOA_7_UNCONNECTED,
392
      DOA(6) => douta_3(6),
393
      DOA(5) => douta_3(5),
394
      DOA(4) => douta_3(4),
395
      DOA(3) => douta_3(3),
396
      DOA(2) => douta_3(2),
397
      DOA(1) => douta_3(1),
398
      DOA(0) => douta_3(0),
399
      DOB(31) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_31_UNCONNECTED,
400
      DOB(30) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_30_UNCONNECTED,
401
      DOB(29) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_29_UNCONNECTED,
402
      DOB(28) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_28_UNCONNECTED,
403
      DOB(27) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_27_UNCONNECTED,
404
      DOB(26) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_26_UNCONNECTED,
405
      DOB(25) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_25_UNCONNECTED,
406
      DOB(24) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_24_UNCONNECTED,
407
      DOB(23) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_23_UNCONNECTED,
408
      DOB(22) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_22_UNCONNECTED,
409
      DOB(21) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_21_UNCONNECTED,
410
      DOB(20) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_20_UNCONNECTED,
411
      DOB(19) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_19_UNCONNECTED,
412
      DOB(18) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_18_UNCONNECTED,
413
      DOB(17) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_17_UNCONNECTED,
414
      DOB(16) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_16_UNCONNECTED,
415
      DOB(15) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_15_UNCONNECTED,
416
      DOB(14) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_14_UNCONNECTED,
417
      DOB(13) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_13_UNCONNECTED,
418
      DOB(12) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_12_UNCONNECTED,
419
      DOB(11) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_11_UNCONNECTED,
420
      DOB(10) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_10_UNCONNECTED,
421
      DOB(9) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_9_UNCONNECTED,
422
      DOB(8) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_8_UNCONNECTED,
423
      DOB(7) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_7_UNCONNECTED,
424
      DOB(6) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_6_UNCONNECTED,
425
      DOB(5) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_5_UNCONNECTED,
426
      DOB(4) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_4_UNCONNECTED,
427
      DOB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_3_UNCONNECTED,
428
      DOB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_2_UNCONNECTED,
429
      DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_1_UNCONNECTED,
430
      DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOB_0_UNCONNECTED,
431
      DOPA(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_3_UNCONNECTED,
432
      DOPA(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_2_UNCONNECTED,
433
      DOPA(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_1_UNCONNECTED,
434
      DOPA(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPA_0_UNCONNECTED,
435
      DOPB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_3_UNCONNECTED,
436
      DOPB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_2_UNCONNECTED,
437
      DOPB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_1_UNCONNECTED,
438
      DOPB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v4_init_ram_SP_SINGLE_PRIM_SP_DOPB_0_UNCONNECTED
439
    );
440
  BU2_XST_VCC : VCC
441
    port map (
442
      P => BU2_N1
443
    );
444
  BU2_XST_GND : GND
445
    port map (
446
      G => BU2_doutb(0)
447
    );
448
 
449
end STRUCTURE;
450
 
451
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.