OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [Virtex 5/] [DP-LAU/] [comp_eq_000000000000.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 NikosAl
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2008 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____
5
--  /   /\/   /
6
-- /___/  \  /    Vendor: Xilinx
7
-- \   \   \/     Version: K.39
8
--  \   \         Application: netgen
9
--  /   /         Filename: comp_eq_000000000000.vhd
10
-- /___/   /\     Timestamp: Tue Jun 23 11:59:52 2009
11
-- \   \  /  \ 
12
--  \___\/\___\
13
--             
14
-- Command      : -intstyle ise -w -sim -ofmt vhdl "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\comp_eq_000000000000.ngc" "C:\Documents and Settings\Administrator\Desktop\Felsenstein Coprocessor\Logarithm LUT based\HW Implementation\Coregen\tmp\_cg\comp_eq_000000000000.vhd" 
15
-- Device       : 5vsx95tff1136-2
16
-- Input file   : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/comp_eq_000000000000.ngc
17
-- Output file  : C:/Documents and Settings/Administrator/Desktop/Felsenstein Coprocessor/Logarithm LUT based/HW Implementation/Coregen/tmp/_cg/comp_eq_000000000000.vhd
18
-- # of Entities        : 1
19
-- Design Name  : comp_eq_000000000000
20
-- Xilinx       : C:\Xilinx\10.1\ISE
21
--             
22
-- Purpose:    
23
--     This VHDL netlist is a verification model and uses simulation 
24
--     primitives which may not represent the true implementation of the 
25
--     device, however the netlist is functionally correct and should not 
26
--     be modified. This file cannot be synthesized and should only be used 
27
--     with supported simulation tools.
28
--             
29
-- Reference:  
30
--     Development System Reference Guide, Chapter 23
31
--     Synthesis and Simulation Design Guide, Chapter 6
32
--             
33
--------------------------------------------------------------------------------
34
 
35
 
36
-- synthesis translate_off
37
library IEEE;
38
use IEEE.STD_LOGIC_1164.ALL;
39
library UNISIM;
40
use UNISIM.VCOMPONENTS.ALL;
41
use UNISIM.VPKG.ALL;
42
 
43
entity comp_eq_000000000000 is
44
  port (
45
    sclr : in STD_LOGIC := 'X';
46
    qa_eq_b : out STD_LOGIC;
47
    clk : in STD_LOGIC := 'X';
48
    a : in STD_LOGIC_VECTOR ( 11 downto 0 )
49
  );
50
end comp_eq_000000000000;
51
 
52
architecture STRUCTURE of comp_eq_000000000000 is
53
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o71_18 : STD_LOGIC;
54
 
55
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o35_17 : STD_LOGIC;
56
 
57
  signal BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result : STD_LOGIC;
58
  signal BU2_a_ge_b : STD_LOGIC;
59
  signal NLW_VCC_P_UNCONNECTED : STD_LOGIC;
60
  signal NLW_GND_G_UNCONNECTED : STD_LOGIC;
61
  signal a_2 : STD_LOGIC_VECTOR ( 11 downto 0 );
62
begin
63
  a_2(11) <= a(11);
64
  a_2(10) <= a(10);
65
  a_2(9) <= a(9);
66
  a_2(8) <= a(8);
67
  a_2(7) <= a(7);
68
  a_2(6) <= a(6);
69
  a_2(5) <= a(5);
70
  a_2(4) <= a(4);
71
  a_2(3) <= a(3);
72
  a_2(2) <= a(2);
73
  a_2(1) <= a(1);
74
  a_2(0) <= a(0);
75
  VCC_0 : VCC
76
    port map (
77
      P => NLW_VCC_P_UNCONNECTED
78
    );
79
  GND_1 : GND
80
    port map (
81
      G => NLW_GND_G_UNCONNECTED
82
    );
83
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o72 :
84
LUT2
85
    generic map(
86
      INIT => X"8"
87
    )
88
    port map (
89
      I0 =>
90
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o35_17
91
,
92
      I1 =>
93
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o71_18
94
,
95
      O => BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result
96
    );
97
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o71 :
98
LUT6
99
    generic map(
100
      INIT => X"0000000000000001"
101
    )
102
    port map (
103
      I0 => a_2(4),
104
      I1 => a_2(5),
105
      I2 => a_2(3),
106
      I3 => a_2(2),
107
      I4 => a_2(1),
108
      I5 => a_2(0),
109
      O =>
110
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o71_18
111
 
112
    );
113
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o35 :
114
LUT6
115
    generic map(
116
      INIT => X"0000000000000001"
117
    )
118
    port map (
119
      I0 => a_2(10),
120
      I1 => a_2(11),
121
      I2 => a_2(9),
122
      I3 => a_2(8),
123
      I4 => a_2(7),
124
      I5 => a_2(6),
125
      O =>
126
BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_i_use_carry_plus_luts_lut_and_i_gate_bit_tier_gen_1_i_tier_loop_tiles_0_i_tile_o35_17
127
 
128
    );
129
  BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_gen_output_reg_output_reg_fd_output_1 : FDR
130
    generic map(
131
      INIT => '0'
132
    )
133
    port map (
134
      C => clk,
135
      D => BU2_U0_gen_structure_logic_gen_nonpipelined_a_equal_notequal_b_i_a_eq_ne_b_temp_result,
136
      R => sclr,
137
      Q => qa_eq_b
138
    );
139
  BU2_XST_GND : GND
140
    port map (
141
      G => BU2_a_ge_b
142
    );
143
 
144
end STRUCTURE;
145
 
146
-- synthesis translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.