OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [PATLPP/] [checksum/] [sim.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
quit -sim
2
vlog checksum.v
3
vlog checksum_tb.v
4
 
5
vsim -L unisims_ver -voptargs=+acc checksum_tb
6
 
7
add wave -hex sim:/checksum_tb/*
8
add wave -noupdate -divider {Checksum Unit}
9
add wave -hex sim:/checksum_tb/dut/*
10
 
11
run 300ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.