OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [PATLPP/] [shiftr/] [shiftr_tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// Shift Register Test Bench
2
//
3
 
4
module shiftr_tb;
5
 
6
reg en_in, en_out, clk, rst;
7
reg [7:0] data_in;
8
wire [7:0] data_out;
9
 
10
shiftr dut (
11
        .en_in(en_in),
12
        .en_out(en_out),
13
        .clk(clk),
14
        .rst(rst),
15
        .data_in(data_in),
16
        .data_out(data_out)
17
);
18
 
19
initial
20
begin
21
        clk = 1;
22
        en_in = 0;
23
        en_out = 0;
24
        rst = 1;
25
        data_in = 0;
26
        @(posedge clk)
27
                rst = 0;
28
        @(posedge clk)
29
                en_in = 1;
30
                data_in = 1;
31
        @(posedge clk)
32
                data_in = 2;
33
        @(posedge clk)
34
                data_in = 3;
35
        @(posedge clk)
36
                en_out = 1;
37
                data_in = 4;
38
        @(posedge clk)
39
                data_in = 5;
40
        @(posedge clk)
41
                en_in = 0;
42
        @(posedge clk);
43
        @(posedge clk);
44
        @(posedge clk)
45
                en_out = 0;
46
        @(posedge clk);
47
        @(posedge clk);
48
        @(posedge clk);
49
        @(posedge clk);
50
        @(posedge clk);
51
end
52
 
53
always
54
        #100 clk = ~clk;
55
 
56
always @(posedge clk or rst)
57
        #1 $display("At t=%t : en_in=%h, en_out=%h, data_in=%h, data_out=%h",
58
                                                $time, en_in, en_out, data_in, data_out);
59
 
60
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.