OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [PATLPP/] [shiftr/] [sim.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
vlib work
2
 
3
quit -sim
4
vlog gensrl.v
5
vlog shiftr.v
6
vlog shiftr_tb.v
7
 
8
vsim -L unisims_ver -voptargs=+acc shiftr_tb
9
 
10
add wave \
11
{sim:/shiftr_tb/dut/en_in } \
12
{sim:/shiftr_tb/dut/en_out } \
13
{sim:/shiftr_tb/dut/clk } \
14
{sim:/shiftr_tb/dut/rst } \
15
{sim:/shiftr_tb/dut/data_in } \
16
{sim:/shiftr_tb/dut/data_out } \
17
{sim:/shiftr_tb/dut/size } \
18
{sim:/shiftr_tb/dut/empty }
19
run 10ns

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.