OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [boardsupport/] [v5/] [rocketio_wrapper_gtp.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
//////////////////////////////////////////////////////////////////////////////
2
//$Date: 2009/03/10 16:31:34 $
3
//$RCSfile: rocketio_wrapper_gtp_ver_v.ejava,v $
4
//$Revision: 1.1.4.1 $
5
///////////////////////////////////////////////////////////////////////////////
6
//   ____  ____ 
7
//  /   /\/   / 
8
// /___/  \  /    Vendor: Xilinx 
9
// \   \   \/     Version : 1.8 
10
//  \   \         Application : GTP Wizard 
11
//  /   /         Filename : rocketio_wrapper.v
12
// /___/   /\     Timestamp : 02/08/2005 09:12:43
13
// \   \  /  \ 
14
//  \___\/\___\ 
15
//
16
//
17
// Module ROCKETIO_WRAPPER (a GTP Wrapper)
18
// Generated by Xilinx GTP Wizard
19
 
20
 
21
 
22
`timescale 1ns / 1ps
23
 
24
 
25
//***************************** Entity Declaration ****************************
26
 
27
module ROCKETIO_WRAPPER_GTP #
28
(
29
    // Simulation attributes
30
    parameter   WRAPPER_SIM_GTPRESET_SPEEDUP    = 0,    // Set to 1 to speed up sim reset
31
    parameter   WRAPPER_SIM_PLL_PERDIV2         = 9'h190   // Set to the VCO Unit Interval time    
32
)
33
(
34
 
35
    //_________________________________________________________________________
36
    //_________________________________________________________________________
37
    //TILE0  (Location)
38
 
39
    //---------------------- Loopback and Powerdown Ports ----------------------
40
    TILE0_LOOPBACK0_IN,
41
    TILE0_LOOPBACK1_IN,
42
    TILE0_RXPOWERDOWN0_IN,
43
    TILE0_TXPOWERDOWN0_IN,
44
    TILE0_RXPOWERDOWN1_IN,
45
    TILE0_TXPOWERDOWN1_IN,
46
    //--------------------- Receive Ports - 8b10b Decoder ----------------------
47
    TILE0_RXCHARISCOMMA0_OUT,
48
    TILE0_RXCHARISCOMMA1_OUT,
49
    TILE0_RXCHARISK0_OUT,
50
    TILE0_RXCHARISK1_OUT,
51
    TILE0_RXDISPERR0_OUT,
52
    TILE0_RXDISPERR1_OUT,
53
    TILE0_RXNOTINTABLE0_OUT,
54
    TILE0_RXNOTINTABLE1_OUT,
55
    TILE0_RXRUNDISP0_OUT,
56
    TILE0_RXRUNDISP1_OUT,
57
    //----------------- Receive Ports - Clock Correction Ports -----------------
58
    TILE0_RXCLKCORCNT0_OUT,
59
    TILE0_RXCLKCORCNT1_OUT,
60
    //------------- Receive Ports - Comma Detection and Alignment --------------
61
    TILE0_RXENMCOMMAALIGN0_IN,
62
    TILE0_RXENMCOMMAALIGN1_IN,
63
    TILE0_RXENPCOMMAALIGN0_IN,
64
    TILE0_RXENPCOMMAALIGN1_IN,
65
    //----------------- Receive Ports - RX Data Path interface -----------------
66
    TILE0_RXDATA0_OUT,
67
    TILE0_RXDATA1_OUT,
68
    TILE0_RXRECCLK0_OUT,
69
    TILE0_RXRECCLK1_OUT,
70
    TILE0_RXRESET0_IN,
71
    TILE0_RXRESET1_IN,
72
    TILE0_RXUSRCLK0_IN,
73
    TILE0_RXUSRCLK1_IN,
74
    TILE0_RXUSRCLK20_IN,
75
    TILE0_RXUSRCLK21_IN,
76
    //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
77
    TILE0_RXELECIDLE0_OUT,
78
    TILE0_RXELECIDLE1_OUT,
79
    TILE0_RXN0_IN,
80
    TILE0_RXN1_IN,
81
    TILE0_RXP0_IN,
82
    TILE0_RXP1_IN,
83
    //------ Receive Ports - RX Elastic Buffer and Phase Alignment Ports -------
84
    TILE0_RXBUFRESET0_IN,
85
    TILE0_RXBUFRESET1_IN,
86
    TILE0_RXBUFSTATUS0_OUT,
87
    TILE0_RXBUFSTATUS1_OUT,
88
    //------------------- Shared Ports - Tile and PLL Ports --------------------
89
    TILE0_CLKIN_IN,
90
    TILE0_GTPRESET_IN,
91
    TILE0_PLLLKDET_OUT,
92
    TILE0_REFCLKOUT_OUT,
93
    TILE0_RESETDONE0_OUT,
94
    TILE0_RESETDONE1_OUT,
95
    //-------------- Transmit Ports - 8b10b Encoder Control Ports --------------
96
    TILE0_TXCHARDISPMODE0_IN,
97
    TILE0_TXCHARDISPMODE1_IN,
98
    TILE0_TXCHARDISPVAL0_IN,
99
    TILE0_TXCHARDISPVAL1_IN,
100
    TILE0_TXCHARISK0_IN,
101
    TILE0_TXCHARISK1_IN,
102
    //----------- Transmit Ports - TX Buffering and Phase Alignment ------------
103
    TILE0_TXBUFSTATUS0_OUT,
104
    TILE0_TXBUFSTATUS1_OUT,
105
    //---------------- Transmit Ports - TX Data Path interface -----------------
106
    TILE0_TXDATA0_IN,
107
    TILE0_TXDATA1_IN,
108
    TILE0_TXOUTCLK0_OUT,
109
    TILE0_TXOUTCLK1_OUT,
110
    TILE0_TXRESET0_IN,
111
    TILE0_TXRESET1_IN,
112
    TILE0_TXUSRCLK0_IN,
113
    TILE0_TXUSRCLK1_IN,
114
    TILE0_TXUSRCLK20_IN,
115
    TILE0_TXUSRCLK21_IN,
116
    //------------- Transmit Ports - TX Driver and OOB signalling --------------
117
    TILE0_TXN0_OUT,
118
    TILE0_TXN1_OUT,
119
    TILE0_TXP0_OUT,
120
    TILE0_TXP1_OUT
121
 
122
 
123
);
124
 
125
 
126
//***************************** Port Declarations *****************************
127
 
128
 
129
 
130
    //_________________________________________________________________________
131
    //_________________________________________________________________________
132
    //TILE0  (Location)
133
 
134
    //---------------------- Loopback and Powerdown Ports ----------------------
135
    input   [2:0]   TILE0_LOOPBACK0_IN;
136
    input   [2:0]   TILE0_LOOPBACK1_IN;
137
    input   [1:0]   TILE0_RXPOWERDOWN0_IN;
138
    input   [1:0]   TILE0_TXPOWERDOWN0_IN;
139
    input   [1:0]   TILE0_RXPOWERDOWN1_IN;
140
    input   [1:0]   TILE0_TXPOWERDOWN1_IN;
141
    //--------------------- Receive Ports - 8b10b Decoder ----------------------
142
    output          TILE0_RXCHARISCOMMA0_OUT;
143
    output          TILE0_RXCHARISCOMMA1_OUT;
144
    output          TILE0_RXCHARISK0_OUT;
145
    output          TILE0_RXCHARISK1_OUT;
146
    output          TILE0_RXDISPERR0_OUT;
147
    output          TILE0_RXDISPERR1_OUT;
148
    output          TILE0_RXNOTINTABLE0_OUT;
149
    output          TILE0_RXNOTINTABLE1_OUT;
150
    output          TILE0_RXRUNDISP0_OUT;
151
    output          TILE0_RXRUNDISP1_OUT;
152
    //----------------- Receive Ports - Clock Correction Ports -----------------
153
    output  [2:0]   TILE0_RXCLKCORCNT0_OUT;
154
    output  [2:0]   TILE0_RXCLKCORCNT1_OUT;
155
    //------------- Receive Ports - Comma Detection and Alignment --------------
156
    input           TILE0_RXENMCOMMAALIGN0_IN;
157
    input           TILE0_RXENMCOMMAALIGN1_IN;
158
    input           TILE0_RXENPCOMMAALIGN0_IN;
159
    input           TILE0_RXENPCOMMAALIGN1_IN;
160
    //----------------- Receive Ports - RX Data Path interface -----------------
161
    output  [7:0]   TILE0_RXDATA0_OUT;
162
    output  [7:0]   TILE0_RXDATA1_OUT;
163
    output          TILE0_RXRECCLK0_OUT;
164
    output          TILE0_RXRECCLK1_OUT;
165
    input           TILE0_RXRESET0_IN;
166
    input           TILE0_RXRESET1_IN;
167
    input           TILE0_RXUSRCLK0_IN;
168
    input           TILE0_RXUSRCLK1_IN;
169
    input           TILE0_RXUSRCLK20_IN;
170
    input           TILE0_RXUSRCLK21_IN;
171
    //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
172
    output          TILE0_RXELECIDLE0_OUT;
173
    output          TILE0_RXELECIDLE1_OUT;
174
    input           TILE0_RXN0_IN;
175
    input           TILE0_RXN1_IN;
176
    input           TILE0_RXP0_IN;
177
    input           TILE0_RXP1_IN;
178
    //------ Receive Ports - RX Elastic Buffer and Phase Alignment Ports -------
179
    input           TILE0_RXBUFRESET0_IN;
180
    input           TILE0_RXBUFRESET1_IN;
181
    output  [2:0]   TILE0_RXBUFSTATUS0_OUT;
182
    output  [2:0]   TILE0_RXBUFSTATUS1_OUT;
183
    //------------------- Shared Ports - Tile and PLL Ports --------------------
184
    input           TILE0_CLKIN_IN;
185
    input           TILE0_GTPRESET_IN;
186
    output          TILE0_PLLLKDET_OUT;
187
    output          TILE0_REFCLKOUT_OUT;
188
    output          TILE0_RESETDONE0_OUT;
189
    output          TILE0_RESETDONE1_OUT;
190
    //-------------- Transmit Ports - 8b10b Encoder Control Ports --------------
191
    input           TILE0_TXCHARDISPMODE0_IN;
192
    input           TILE0_TXCHARDISPMODE1_IN;
193
    input           TILE0_TXCHARDISPVAL0_IN;
194
    input           TILE0_TXCHARDISPVAL1_IN;
195
    input           TILE0_TXCHARISK0_IN;
196
    input           TILE0_TXCHARISK1_IN;
197
    //----------- Transmit Ports - TX Buffering and Phase Alignment ------------
198
    output  [1:0]   TILE0_TXBUFSTATUS0_OUT;
199
    output  [1:0]   TILE0_TXBUFSTATUS1_OUT;
200
    //---------------- Transmit Ports - TX Data Path interface -----------------
201
    input   [7:0]   TILE0_TXDATA0_IN;
202
    input   [7:0]   TILE0_TXDATA1_IN;
203
    output          TILE0_TXOUTCLK0_OUT;
204
    output          TILE0_TXOUTCLK1_OUT;
205
    input           TILE0_TXRESET0_IN;
206
    input           TILE0_TXRESET1_IN;
207
    input           TILE0_TXUSRCLK0_IN;
208
    input           TILE0_TXUSRCLK1_IN;
209
    input           TILE0_TXUSRCLK20_IN;
210
    input           TILE0_TXUSRCLK21_IN;
211
    //------------- Transmit Ports - TX Driver and OOB signalling --------------
212
    output          TILE0_TXN0_OUT;
213
    output          TILE0_TXN1_OUT;
214
    output          TILE0_TXP0_OUT;
215
    output          TILE0_TXP1_OUT;
216
 
217
 
218
 
219
 
220
 
221
//***************************** Wire Declarations *****************************
222
 
223
    // Channel Bonding Signals
224
 
225
 
226
    // ground and vcc signals
227
    wire            tied_to_ground_i;
228
    wire    [63:0]  tied_to_ground_vec_i;
229
    wire            tied_to_vcc_i;
230
    wire    [63:0]  tied_to_vcc_vec_i;
231
 
232
 
233
//********************************* Main Body of Code**************************
234
 
235
    assign tied_to_ground_i             = 1'b0;
236
    assign tied_to_ground_vec_i         = 64'h0000000000000000;
237
    assign tied_to_vcc_i                = 1'b1;
238
    assign tied_to_vcc_vec_i            = 64'hffffffffffffffff;
239
 
240
 
241
    //------------------------- Tile Instances  -------------------------------   
242
 
243
 
244
 
245
    //_________________________________________________________________________
246
    //_________________________________________________________________________
247
    //TILE0  (Location)
248
 
249
    ROCKETIO_WRAPPER_GTP_TILE #
250
    (
251
        // Simulation attributes
252
        .TILE_SIM_GTPRESET_SPEEDUP   (WRAPPER_SIM_GTPRESET_SPEEDUP),
253
        .TILE_SIM_PLL_PERDIV2        (WRAPPER_SIM_PLL_PERDIV2),
254
 
255
        // Channel bonding attributes
256
        .TILE_CHAN_BOND_MODE_0       ("OFF"),
257
        .TILE_CHAN_BOND_LEVEL_0      (0),
258
 
259
        .TILE_CHAN_BOND_MODE_1       ("OFF"),
260
        .TILE_CHAN_BOND_LEVEL_1      (0)
261
    )
262
    tile0_rocketio_wrapper_i
263
    (
264
        //---------------------- Loopback and Powerdown Ports ----------------------
265
        .LOOPBACK0_IN                   (TILE0_LOOPBACK0_IN),
266
        .LOOPBACK1_IN                   (TILE0_LOOPBACK1_IN),
267
        .RXPOWERDOWN0_IN                (TILE0_RXPOWERDOWN0_IN),
268
        .TXPOWERDOWN0_IN                (TILE0_TXPOWERDOWN0_IN),
269
        .RXPOWERDOWN1_IN                (TILE0_RXPOWERDOWN1_IN),
270
        .TXPOWERDOWN1_IN                (TILE0_TXPOWERDOWN1_IN),
271
        //--------------------- Receive Ports - 8b10b Decoder ----------------------
272
        .RXCHARISCOMMA0_OUT             (TILE0_RXCHARISCOMMA0_OUT),
273
        .RXCHARISCOMMA1_OUT             (TILE0_RXCHARISCOMMA1_OUT),
274
        .RXCHARISK0_OUT                 (TILE0_RXCHARISK0_OUT),
275
        .RXCHARISK1_OUT                 (TILE0_RXCHARISK1_OUT),
276
        .RXDISPERR0_OUT                 (TILE0_RXDISPERR0_OUT),
277
        .RXDISPERR1_OUT                 (TILE0_RXDISPERR1_OUT),
278
        .RXNOTINTABLE0_OUT              (TILE0_RXNOTINTABLE0_OUT),
279
        .RXNOTINTABLE1_OUT              (TILE0_RXNOTINTABLE1_OUT),
280
        .RXRUNDISP0_OUT                 (TILE0_RXRUNDISP0_OUT),
281
        .RXRUNDISP1_OUT                 (TILE0_RXRUNDISP1_OUT),
282
        //----------------- Receive Ports - Clock Correction Ports -----------------
283
        .RXCLKCORCNT0_OUT               (TILE0_RXCLKCORCNT0_OUT),
284
        .RXCLKCORCNT1_OUT               (TILE0_RXCLKCORCNT1_OUT),
285
        //------------- Receive Ports - Comma Detection and Alignment --------------
286
        .RXENMCOMMAALIGN0_IN            (TILE0_RXENMCOMMAALIGN0_IN),
287
        .RXENMCOMMAALIGN1_IN            (TILE0_RXENMCOMMAALIGN1_IN),
288
        .RXENPCOMMAALIGN0_IN            (TILE0_RXENPCOMMAALIGN0_IN),
289
        .RXENPCOMMAALIGN1_IN            (TILE0_RXENPCOMMAALIGN1_IN),
290
        //----------------- Receive Ports - RX Data Path interface -----------------
291
        .RXDATA0_OUT                    (TILE0_RXDATA0_OUT),
292
        .RXDATA1_OUT                    (TILE0_RXDATA1_OUT),
293
        .RXRECCLK0_OUT                  (TILE0_RXRECCLK0_OUT),
294
        .RXRECCLK1_OUT                  (TILE0_RXRECCLK1_OUT),
295
        .RXRESET0_IN                    (TILE0_RXRESET0_IN),
296
        .RXRESET1_IN                    (TILE0_RXRESET1_IN),
297
        .RXUSRCLK0_IN                   (TILE0_RXUSRCLK0_IN),
298
        .RXUSRCLK1_IN                   (TILE0_RXUSRCLK1_IN),
299
        .RXUSRCLK20_IN                  (TILE0_RXUSRCLK20_IN),
300
        .RXUSRCLK21_IN                  (TILE0_RXUSRCLK21_IN),
301
        //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
302
        .RXELECIDLE0_OUT                (TILE0_RXELECIDLE0_OUT),
303
        .RXELECIDLE1_OUT                (TILE0_RXELECIDLE1_OUT),
304
        .RXN0_IN                        (TILE0_RXN0_IN),
305
        .RXN1_IN                        (TILE0_RXN1_IN),
306
        .RXP0_IN                        (TILE0_RXP0_IN),
307
        .RXP1_IN                        (TILE0_RXP1_IN),
308
        //------ Receive Ports - RX Elastic Buffer and Phase Alignment Ports -------
309
        .RXBUFRESET0_IN                 (TILE0_RXBUFRESET0_IN),
310
        .RXBUFRESET1_IN                 (TILE0_RXBUFRESET1_IN),
311
        .RXBUFSTATUS0_OUT               (TILE0_RXBUFSTATUS0_OUT),
312
        .RXBUFSTATUS1_OUT               (TILE0_RXBUFSTATUS1_OUT),
313
        //------------------- Shared Ports - Tile and PLL Ports --------------------
314
        .CLKIN_IN                       (TILE0_CLKIN_IN),
315
        .GTPRESET_IN                    (TILE0_GTPRESET_IN),
316
        .PLLLKDET_OUT                   (TILE0_PLLLKDET_OUT),
317
        .REFCLKOUT_OUT                  (TILE0_REFCLKOUT_OUT),
318
        .RESETDONE0_OUT                 (TILE0_RESETDONE0_OUT),
319
        .RESETDONE1_OUT                 (TILE0_RESETDONE1_OUT),
320
        //-------------- Transmit Ports - 8b10b Encoder Control Ports --------------
321
        .TXCHARDISPMODE0_IN             (TILE0_TXCHARDISPMODE0_IN),
322
        .TXCHARDISPMODE1_IN             (TILE0_TXCHARDISPMODE1_IN),
323
        .TXCHARDISPVAL0_IN              (TILE0_TXCHARDISPVAL0_IN),
324
        .TXCHARDISPVAL1_IN              (TILE0_TXCHARDISPVAL1_IN),
325
        .TXCHARISK0_IN                  (TILE0_TXCHARISK0_IN),
326
        .TXCHARISK1_IN                  (TILE0_TXCHARISK1_IN),
327
        //----------- Transmit Ports - TX Buffering and Phase Alignment ------------
328
        .TXBUFSTATUS0_OUT               (TILE0_TXBUFSTATUS0_OUT),
329
        .TXBUFSTATUS1_OUT               (TILE0_TXBUFSTATUS1_OUT),
330
        //---------------- Transmit Ports - TX Data Path interface -----------------
331
        .TXDATA0_IN                     (TILE0_TXDATA0_IN),
332
        .TXDATA1_IN                     (TILE0_TXDATA1_IN),
333
        .TXOUTCLK0_OUT                  (TILE0_TXOUTCLK0_OUT),
334
        .TXOUTCLK1_OUT                  (TILE0_TXOUTCLK1_OUT),
335
        .TXRESET0_IN                    (TILE0_TXRESET0_IN),
336
        .TXRESET1_IN                    (TILE0_TXRESET1_IN),
337
        .TXUSRCLK0_IN                   (TILE0_TXUSRCLK0_IN),
338
        .TXUSRCLK1_IN                   (TILE0_TXUSRCLK1_IN),
339
        .TXUSRCLK20_IN                  (TILE0_TXUSRCLK20_IN),
340
        .TXUSRCLK21_IN                  (TILE0_TXUSRCLK21_IN),
341
        //------------- Transmit Ports - TX Driver and OOB signalling --------------
342
        .TXN0_OUT                       (TILE0_TXN0_OUT),
343
        .TXN1_OUT                       (TILE0_TXN1_OUT),
344
        .TXP0_OUT                       (TILE0_TXP0_OUT),
345
        .TXP1_OUT                       (TILE0_TXP1_OUT)
346
 
347
    );
348
 
349
 
350
 
351
endmodule
352
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.