OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [lpm/] [mux2/] [lpm_mux2.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// LPM Mux
2
// Author: Peter Lieber
3
//
4
 
5
module lpm_mux2
6
(
7
        in0,
8
        in1,
9
        s,
10
        out
11
);
12
 
13
parameter WIDTH = 8;
14
 
15
input           wire            [WIDTH-1:0]              in0;
16
input           wire            [WIDTH-1:0]              in1;
17
input           wire                                                    s;
18
output  reg             [WIDTH-1:0]      out;
19
 
20
always @(in0 or in1 or s)
21
begin
22
        case (s)
23
                0: out = in0;
24
                default: out = in1;
25
        endcase
26
end
27
 
28
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.