OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [lpm/] [mux4/] [lpm_mux4.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// LPM Mux
2
// Author: Peter Lieber
3
//
4
 
5
module lpm_mux4
6
(
7
        in0,
8
        in1,
9
        in2,
10
        in3,
11
        s,
12
        out
13
);
14
 
15
parameter WIDTH = 8;
16
 
17
input           wire            [WIDTH-1:0]              in0;
18
input           wire            [WIDTH-1:0]              in1;
19
input           wire            [WIDTH-1:0]              in2;
20
input           wire            [WIDTH-1:0]              in3;
21
input           wire            [1:0]                            s;
22
output  reg             [WIDTH-1:0]      out;
23
 
24
always @(in0 or in1 or in2 or in3 or s)
25
begin
26
        case (s)
27
                0: out = in0;
28
                1: out = in1;
29
                2: out = in2;
30
                default: out = in3;
31
        endcase
32
end
33
 
34
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.