OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [lpm/] [stopar/] [lpm_stopar_tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// Serial to Parallel Shift Register
2
// Author: Peter Lieber
3
//
4
 
5
module lpm_stopar_tb;
6
 
7
reg clk;
8
reg rst;
9
reg [7:0] sin;
10
reg en;
11
wire [15:0] pout;
12
 
13
lpm_stopar
14
#(
15
        .WIDTH(8),
16
        .DEPTH(2)
17
) dut (
18
        .clk(clk),
19
        .rst(rst),
20
        .sin(sin),
21
        .en(en),
22
        .pout(pout)
23
);
24
 
25
always #10 clk = ~clk;
26
 
27
initial
28
begin
29
        clk = 0;
30
        rst = 1;
31
        sin = 0;
32
        en = 0;
33
        @(posedge clk);
34
        rst = 0;
35
        @(posedge clk);
36
        sin = 5;
37
        @(posedge clk);
38
        en = 1;
39
        @(posedge clk);
40
        sin = 9;
41
        @(posedge clk);
42
        en = 0;
43
        @(posedge clk);
44
        @(posedge clk);
45
        en = 1;
46
        @(posedge clk);
47
        en = 0;
48
        @(posedge clk);
49
        rst = 1;
50
        @(posedge clk);
51
        rst = 0;
52
        @(posedge clk);
53
        #5      $stop;
54
end
55
 
56
endmodule
57
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.