OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [port_icap/] [shiftr_bram/] [shiftr_bram.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// Shift Register
2
// Author: Peter Lieber
3
//
4
 
5
module shiftr_bram
6
(
7
        input                           en_in,
8
        input                           en_out,
9
        input                           clk,
10
        input                           rst,
11
        output                  empty,
12
        output                  full,
13
 
14
        input           [7:0]    data_in,
15
        output  [7:0] data_out
16
);
17
 
18
//wire rst_n, en_in_n, en_out_n;
19
//assign rst_n = ~rst;
20
//assign en_in_n = ~en_in;
21
//assign en_out_n = ~en_out;
22
 
23
/* V5 Primitive */
24
FIFO18 #(
25
        .FIRST_WORD_FALL_THROUGH("TRUE"),
26
        .DO_REG(1),
27
        .DATA_WIDTH(9)
28
        ) FIFO18_inst (
29
        .DI(data_in),
30
        .DIP(1'b0),
31
        .WREN(en_in),
32
        .WRCLK(clk),
33
        .RDEN(en_out),
34
        .RDCLK(clk),
35
        .RST(rst),
36
        .DO(data_out),
37
        .FULL(full),
38
        .EMPTY(empty)
39
        );
40
/**/
41
 
42
/* V4 Primitive
43
FIFO16 #(
44
        .FIRST_WORD_FALL_THROUGH("TRUE"),
45
        //.DO_REG(1),
46
        .DATA_WIDTH(9)
47
        ) FIFO16_inst (
48
        .DI(data_in),
49
        .DIP(1'b0),
50
        .WREN(en_in),
51
        .WRCLK(clk),
52
        .RDEN(en_out),
53
        .RDCLK(clk),
54
        .RST(rst),
55
        .DO(data_out),
56
        .FULL(full),
57
        .EMPTY(empty)
58
        );
59
*/
60
 
61
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.