OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [port_icap/] [shiftr_bram/] [shiftr_bram_tb.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 peteralieb
// Shift Register Test Bench
2
//
3
 
4
module shiftr_bram_tb;
5
 
6
reg en_in, en_out, clk, rst;
7
reg [7:0] data_in;
8
wire [7:0] data_out;
9
wire empty;
10
 
11
shiftr_bram dut (
12
        .en_in(en_in),
13
        .en_out(en_out),
14
        .clk(clk),
15
        .rst(rst),
16
        .empty(empty),
17
        .data_in(data_in),
18
        .data_out(data_out)
19
);
20
 
21
initial
22
begin
23
        clk = 1;
24
        en_in = 0;
25
        en_out = 0;
26
        rst = 1;
27
        data_in = 0;
28
        @(posedge clk)
29
                rst = 0;
30
        @(posedge clk)
31
                en_in = 1;
32
                data_in = 1;
33
        @(posedge clk)
34
                data_in = 2;
35
        @(posedge clk)
36
                data_in = 3;
37
        @(posedge clk)
38
                en_out = 1;
39
                data_in = 4;
40
        @(posedge clk)
41
                data_in = 5;
42
        @(posedge clk)
43
                en_in = 0;
44
        @(posedge clk);
45
        @(posedge clk);
46
        @(posedge clk)
47
                en_out = 0;
48
        @(posedge clk);
49
        @(posedge clk);
50
        @(posedge clk);
51
        @(posedge clk);
52
        @(posedge clk);
53
end
54
 
55
always
56
        #100 clk = ~clk;
57
 
58
always @(posedge clk or rst)
59
        #1 $display("At t=%t : en_in=%h, en_out=%h, data_in=%h, data_out=%h",
60
                                                $time, en_in, en_out, data_in, data_out);
61
 
62
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.