OpenCores
URL https://opencores.org/ocsvn/fpga-median/fpga-median/trunk

Subversion Repositories fpga-median

[/] [fpga-median/] [trunk/] [rtl/] [dff_3_pipe.v] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 joaocarlos
// +----------------------------------------------------------------------------
2
// Universidade Federal da Bahia
3
//------------------------------------------------------------------------------
4
// PROJECT: FPGA Median Filter
5
//------------------------------------------------------------------------------
6
// FILE NAME            : pixel_network.v
7
// AUTHOR               : João Carlos Bittencourt
8
// AUTHOR'S E-MAIL      : joaocarlos@ieee.org
9
// -----------------------------------------------------------------------------
10
// RELEASE HISTORY
11
// VERSION  DATE        AUTHOR        DESCRIPTION
12
// 1.0      2013-08-13  joao.nunes    initial version
13
// -----------------------------------------------------------------------------
14
// KEYWORDS: dff, flip-flop, register bank
15
// -----------------------------------------------------------------------------
16
// PURPOSE: Group median pipeline registers.
17
// -----------------------------------------------------------------------------
18
module dff_3_pipe
19
#(
20
    parameter DATA_WIDTH = 8
21
)(
22
    input clk,
23
    input rst_n,
24
    input [DATA_WIDTH-1:0] d0,
25
    input [DATA_WIDTH-1:0] d1,
26
    input [DATA_WIDTH-1:0] d2,
27
 
28
    output reg [DATA_WIDTH-1:0] q0,
29
    output reg [DATA_WIDTH-1:0] q1,
30
    output reg [DATA_WIDTH-1:0] q2
31
);
32
 
33
always @(posedge clk or negedge rst_n)
34
begin : register_bank_3u
35
    if(~rst_n) begin
36
        q0 <= {DATA_WIDTH{1'b0}};
37
        q1 <= {DATA_WIDTH{1'b0}};
38
        q2 <= {DATA_WIDTH{1'b0}};
39
    end else begin
40
        q0 <= d0;
41
        q1 <= d1;
42
        q2 <= d2;
43
    end
44
end
45
 
46
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.