OpenCores
URL https://opencores.org/ocsvn/fpu100/fpu100/trunk

Subversion Repositories fpu100

[/] [fpu100/] [branches/] [avendor/] [post_norm_addsub.vhd] - Blame information for rev 21

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jidan
-------------------------------------------------------------------------------
2
--
3
-- Project:     <Floating Point Unit Core>
4
--      
5
-- Description: post-normalization entity for the addition/subtraction unit
6
-------------------------------------------------------------------------------
7
--
8
--                              100101011010011100100
9
--                              110000111011100100000
10
--                              100000111011000101101
11
--                              100010111100101111001
12
--                              110000111011101101001
13
--                              010000001011101001010
14
--                              110100111001001100001
15
--                              110111010000001100111
16
--                              110110111110001011101
17
--                              101110110010111101000
18
--                              100000010111000000000
19
--
20
--      Author:          Jidan Al-eryani 
21
--      E-mail:          jidan@gmx.net
22
--
23
--  Copyright (C) 2006
24
--
25
--      This source file may be used and distributed without        
26
--      restriction provided that this copyright statement is not   
27
--      removed from the file and that any derivative work contains 
28
--      the original copyright notice and the associated disclaimer.
29
--                                                           
30
--              THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     
31
--      EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   
32
--      TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   
33
--      FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      
34
--      OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         
35
--      INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    
36
--      (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   
37
--      GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        
38
--      BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  
39
--      LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  
40
--      (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  
41
--      OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         
42
--      POSSIBILITY OF SUCH DAMAGE. 
43
--
44
 
45
library ieee ;
46
use ieee.std_logic_1164.all;
47
use ieee.std_logic_unsigned.all;
48
use ieee.std_logic_misc.all;
49
 
50
library work;
51
use work.fpupack.all;
52
 
53
entity post_norm_addsub is
54
        port(
55
                        clk_i                   : in std_logic;
56
                        opa_i                   : in std_logic_vector(FP_WIDTH-1 downto 0);
57
                        opb_i                   : in std_logic_vector(FP_WIDTH-1 downto 0);
58
                        fract_28_i              : in std_logic_vector(FRAC_WIDTH+4 downto 0);    -- carry(1) & hidden(1) & fraction(23) & guard(1) & round(1) & sticky(1)
59
                        exp_i                   : in std_logic_vector(EXP_WIDTH-1 downto 0);
60
                        sign_i                  : in std_logic;
61
                        fpu_op_i                : in std_logic;
62
                        rmode_i                 : in std_logic_vector(1 downto 0);
63
                        output_o                : out std_logic_vector(FP_WIDTH-1 downto 0);
64
                        ine_o                   : out std_logic
65
                );
66
end post_norm_addsub;
67
 
68
 
69
architecture rtl of post_norm_addsub is
70
 
71
 
72
signal s_opa_i, s_opb_i         : std_logic_vector(FP_WIDTH-1 downto 0);
73
signal s_fract_28_i                     : std_logic_vector(FRAC_WIDTH+4 downto 0);
74
signal s_exp_i                          : std_logic_vector(EXP_WIDTH-1 downto 0);
75
signal s_sign_i                         : std_logic;
76
signal s_fpu_op_i                       : std_logic;
77
signal s_rmode_i                        : std_logic_vector(1 downto 0);
78
signal s_output_o                       : std_logic_vector(FP_WIDTH-1 downto 0);
79
signal s_ine_o                          : std_logic;
80
signal s_overflow                       : std_logic;
81
 
82
 
83
signal s_shr1, s_shr2, s_shl : std_logic;
84
 
85
signal s_expr1_9, s_expr2_9, s_expl_9   : std_logic_vector(EXP_WIDTH downto 0);
86
signal s_exp_shr1, s_exp_shr2, s_exp_shl : std_logic_vector(EXP_WIDTH-1 downto 0);
87
 
88
signal s_fract_shr1, s_fract_shr2, s_fract_shl  : std_logic_vector(FRAC_WIDTH+4 downto 0);
89
signal s_zeros  : std_logic_vector(5 downto 0);
90
signal shl_pos: std_logic_vector(5 downto 0);
91
 
92
signal s_fract_1, s_fract_2     : std_logic_vector(FRAC_WIDTH+4 downto 0);
93
signal s_exp_1, s_exp_2 : std_logic_vector(EXP_WIDTH-1 downto 0);
94
 
95
signal s_fract_rnd : std_logic_vector(FRAC_WIDTH+4 downto 0);
96
signal s_roundup : std_logic;
97 6 jidan
signal s_sticky : std_logic;
98
 
99
signal s_zero_fract : std_logic;
100
signal s_lost : std_logic;
101 2 jidan
signal s_infa, s_infb : std_logic;
102
signal s_nan_in, s_nan_op, s_nan_a, s_nan_b, s_nan_sign : std_logic;
103
 
104
 
105
begin
106
 
107
        -- Input Register
108
        --process(clk_i)
109
        --begin
110
        --      if rising_edge(clk_i) then      
111
                        s_opa_i <= opa_i;
112
                        s_opb_i <= opb_i;
113
                        s_fract_28_i <= fract_28_i;
114
                        s_exp_i <= exp_i;
115
                        s_sign_i <= sign_i;
116
                        s_fpu_op_i <= fpu_op_i;
117
                        s_rmode_i <= rmode_i;
118
        --      end if;
119
        --end process;  
120
 
121
        -- Output Register
122
        --process(clk_i)
123
        --begin
124
        --      if rising_edge(clk_i) then      
125
                        output_o <= s_output_o;
126
                        ine_o <= s_ine_o;
127
        --      end if;
128
        --end process;
129
 
130
 
131
        -- check if shifting is needed
132
        s_shr1 <= s_fract_28_i(27);
133
        s_shl <= '1' when s_fract_28_i(27 downto 26)="00" and s_exp_i /= "00000000" else '0';
134
 
135
        -- stage 1a: right-shift (when necessary)
136
        s_expr1_9 <= "0"&s_exp_i + "000000001";
137
        s_fract_shr1 <= shr(s_fract_28_i, "1");
138
        s_exp_shr1 <= s_expr1_9(7 downto 0);
139
 
140
        -- stage 1b: left-shift (when necessary)
141
 
142
        process(clk_i)
143
        begin
144
                if rising_edge(clk_i) then
145
                        -- count the leading zero's of fraction, needed for left-shift  
146 6 jidan
                        s_zeros <= count_l_zeros(s_fract_28_i(26 downto 0));
147 2 jidan
                end if;
148
        end process;
149
 
150
        s_expl_9 <= ("0"&s_exp_i) - ("000"&s_zeros);
151
        shl_pos <= "000000" when s_exp_i="00000001" else s_zeros;
152
 
153
        s_fract_shl <= shl(s_fract_28_i, shl_pos);
154
        s_exp_shl <= "00000000" when s_exp_i="00000001" else s_exp_i - ("00"&shl_pos);
155
 
156
        process(clk_i)
157
        begin
158
                if rising_edge(clk_i) then
159
                        if s_shr1='1' then
160
                                s_fract_1 <= s_fract_shr1;
161
                        elsif s_shl='1' then
162
                                s_fract_1 <= s_fract_shl;
163
                        else
164
                                s_fract_1 <= s_fract_28_i;
165
                        end if;
166
                end if;
167
        end process;
168
 
169
        process(clk_i)
170
        begin
171
                if rising_edge(clk_i) then
172
                        if s_shr1='1' then
173
                                s_exp_1 <= s_exp_shr1;
174
                        elsif s_shl='1' then
175
                                s_exp_1 <= s_exp_shl;
176
                        else
177
                                s_exp_1 <= s_exp_i;
178
                        end if;
179
                end if;
180
        end process;
181 6 jidan
 
182 2 jidan
        -- round
183 6 jidan
 
184
        s_sticky <='1' when s_fract_1(0)='1' or (s_fract_28_i(0) and s_fract_28_i(27))='1' else '0'; --check last bit, before and after right-shift
185
 
186
        s_roundup <= s_fract_1(2) and ((s_fract_1(1) or s_sticky)or s_fract_1(3)) when s_rmode_i="00" else -- round to nearset even
187
                                                         (s_fract_1(2) or s_fract_1(1) or s_sticky) and (not s_sign_i) when s_rmode_i="10" else -- round up
188
                                                         (s_fract_1(2) or s_fract_1(1) or s_sticky) and (s_sign_i) when s_rmode_i="11" else -- round down
189 2 jidan
                                                         '0'; -- round to zero(truncate = no rounding)
190
 
191
        s_fract_rnd <= s_fract_1 + "0000000000000000000000001000" when s_roundup='1' else s_fract_1;
192
 
193
        -- stage 2: right-shift after rounding (when necessary)
194
        s_shr2 <= s_fract_rnd(27);
195
        s_expr2_9 <= ("0"&s_exp_1) + "000000001";
196
        s_fract_shr2 <= shr(s_fract_rnd, "1");
197
        s_exp_shr2 <= s_expr2_9(7 downto 0);
198
 
199
        s_fract_2 <= s_fract_shr2 when s_shr2='1' else s_fract_rnd;
200
        s_exp_2 <= s_exp_shr2 when s_shr2='1' else s_exp_1;
201
        -------------
202
 
203
        s_infa <= '1' when s_opa_i(30 downto 23)="11111111"  else '0';
204
        s_infb <= '1' when s_opb_i(30 downto 23)="11111111"  else '0';
205
 
206
        s_nan_a <= '1' when (s_infa='1' and or_reduce (s_opa_i(22 downto 0))='1') else '0';
207
        s_nan_b <= '1' when (s_infb='1' and or_reduce (s_opb_i(22 downto 0))='1') else '0';
208
        s_nan_in <= '1' when s_nan_a='1' or  s_nan_b='1' else '0';
209
        s_nan_op <= '1' when (s_infa and s_infb)='1' and (s_opa_i(31) xor (s_fpu_op_i xor s_opb_i(31)) )='1' else '0'; -- inf-inf=Nan
210
 
211
        s_nan_sign <= s_sign_i when (s_nan_a and s_nan_b)='1' else
212
                                                                s_opa_i(31) when s_nan_a='1' else
213
                                                                s_opb_i(31);
214
 
215
        -- check if result is inexact;
216 6 jidan
        s_lost <= or_reduce(s_fract_28_i(2 downto 0)) or  or_reduce(s_fract_1(2 downto 0)) or or_reduce(s_fract_2(2 downto 0));
217
        s_ine_o <= '1' when (s_lost or s_overflow)='1' and (s_infa or s_infb)='0' else '0';
218 2 jidan
 
219 6 jidan
        s_overflow <='1' when (s_expr1_9(8) or s_expr2_9(8))='1' and (s_infa or s_infb)='0' else '0';
220
        s_zero_fract <= '1' when s_zeros=27 and s_fract_28_i(27)='0' else '0'; -- '1' if fraction result is zero
221 2 jidan
 
222 6 jidan
        process(s_sign_i, s_exp_2, s_fract_2, s_nan_in, s_nan_op, s_nan_sign, s_infa, s_infb, s_overflow, s_zero_fract)
223 2 jidan
        begin
224
                if (s_nan_in or s_nan_op)='1' then
225
                        s_output_o <= s_nan_sign & QNAN;
226
                elsif (s_infa or s_infb)='1' or s_overflow='1' then
227
                                s_output_o <= s_sign_i & INF;
228 6 jidan
                elsif s_zero_fract='1' then
229
                                s_output_o <= s_sign_i & ZERO_VECTOR;
230 2 jidan
                else
231
                                s_output_o <= s_sign_i & s_exp_2 & s_fract_2(25 downto 3);
232
                end if;
233
        end process;
234
 
235
 
236
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.