OpenCores
URL https://opencores.org/ocsvn/fpu100/fpu100/trunk

Subversion Repositories fpu100

[/] [fpu100/] [branches/] [avendor/] [readme.txt] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jidan
The Compilation order is:
2
 
3
fpupack.vhd
4
pre_norm_addsub.vhd
5
addsub_28.vhd
6
post_norm_addsub.vhd
7
pre_norm_mul.vhd
8
mul_24.vhd
9
vcom serial_mul.vhd
10
post_norm_mul.vhd
11
pre_norm_div.vhd
12
serial_div.vhd
13
post_norm_div.vhd
14
pre_norm_sqrt.vhd
15
sqrt.vhd
16
post_norm_sqrt.vhd
17
comppack.vhd
18
fpu.vhd
19
 
20
***For simulation ****
21
\test_bench\FPU_II\*.* ( a reliable reference FPU is needed for the testbench. For more info read readme.txt in test_bench)
22
 
23
tb_fpu.vhd
24
 
25
To run then the simulation just execute fpusim.bat in a command prompt.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.