OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [posit_test_bench/] [positToFp_tb.sv] - Blame information for rev 36

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 robfinch
`timescale 1ns / 1ps
2
module positToFp_tb;
3
 
4
function [31:0] log2;
5
input reg [31:0] value;
6
        begin
7
        value = value-1;
8
        for (log2=0; value>0; log2=log2+1)
9
                value = value>>1;
10
        end
11
endfunction
12
 
13
parameter N=32;
14
parameter E=8;
15
parameter Bs=log2(N);
16
parameter es = 3;
17
 
18
reg [N-1:0] in;
19
reg clk;
20
 
21
wire [N-1:0] out;
22
 
23
// Instantiate the Unit Under Test (UUT)
24
positToFp #(.FPWID(N), .PSTWID(N), .es(es)) u1 (
25
        .i(in),
26
        .o(out)
27
);
28
 
29
 
30
        initial begin
31
                // Initialize Inputs
32
                clk = 1;
33
                // Wait 100 ns for global reset to finish
34
                #101 in = 65535;
35
 
36
                #655360
37
 
38
                $fclose(outfile);
39
                $finish;
40
        end
41
 
42
always #5 clk=~clk;
43
always @(posedge clk) begin
44
        if (in < 32'hffffffff)
45
                in <= in + 65535;
46
end
47
 
48
integer outfile;
49
initial outfile = $fopen("d:/cores5/Gambit/v5/rtl/cpu/fpu/test_bench/positToFp_tvo32.txt", "wb");
50
  always @(negedge clk) begin
51
     $fwrite(outfile, "%h\t%h\n",in,out);
52
  end
53
 
54
endmodule
55
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.