OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [positVerilog/] [div_lut.sv] - Blame information for rev 43

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 43 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2020  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//      positDivide.sv
9
//    - posit number division function
10
//    - parameterized width
11
//
12
//
13
// This source file is free software: you can redistribute it and/or modify
14
// it under the terms of the GNU Lesser General Public License as published
15
// by the Free Software Foundation, either version 3 of the License, or
16
// (at your option) any later version.
17
//
18
// This source file is distributed in the hope that it will be useful,
19
// but WITHOUT ANY WARRANTY; without even the implied warranty of
20
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
21
// GNU General Public License for more details.
22
//
23
// You should have received a copy of the GNU General Public License
24
// along with this program.  If not, see .
25
//
26
// ============================================================================
27
 
28
module div_lut(clk, i, o);
29
input clk;
30
input [10:0] i;
31
output reg [15:0] o;
32
 
33
always @(posedge clk)
34
case(i)
35
11'h000: o <= 16'hFFFF;
36
11'h001: o <= 16'hFFE0;
37
11'h002: o <= 16'hFFC0;
38
11'h003: o <= 16'hFFA0;
39
11'h004: o <= 16'hFF80;
40
11'h005: o <= 16'hFF60;
41
11'h006: o <= 16'hFF40;
42
11'h007: o <= 16'hFF20;
43
11'h008: o <= 16'hFF00;
44
11'h009: o <= 16'hFEE1;
45
11'h00A: o <= 16'hFEC1;
46
11'h00B: o <= 16'hFEA1;
47
11'h00C: o <= 16'hFE82;
48
11'h00D: o <= 16'hFE62;
49
11'h00E: o <= 16'hFE43;
50
11'h00F: o <= 16'hFE23;
51
11'h010: o <= 16'hFE03;
52
11'h011: o <= 16'hFDE4;
53
11'h012: o <= 16'hFDC5;
54
11'h013: o <= 16'hFDA5;
55
11'h014: o <= 16'hFD86;
56
11'h015: o <= 16'hFD66;
57
11'h016: o <= 16'hFD47;
58
11'h017: o <= 16'hFD28;
59
11'h018: o <= 16'hFD08;
60
11'h019: o <= 16'hFCE9;
61
11'h01A: o <= 16'hFCCA;
62
11'h01B: o <= 16'hFCAB;
63
11'h01C: o <= 16'hFC8C;
64
11'h01D: o <= 16'hFC6C;
65
11'h01E: o <= 16'hFC4D;
66
11'h01F: o <= 16'hFC2E;
67
11'h020: o <= 16'hFC0F;
68
11'h021: o <= 16'hFBF0;
69
11'h022: o <= 16'hFBD1;
70
11'h023: o <= 16'hFBB2;
71
11'h024: o <= 16'hFB93;
72
11'h025: o <= 16'hFB75;
73
11'h026: o <= 16'hFB56;
74
11'h027: o <= 16'hFB37;
75
11'h028: o <= 16'hFB18;
76
11'h029: o <= 16'hFAF9;
77
11'h02A: o <= 16'hFADB;
78
11'h02B: o <= 16'hFABC;
79
11'h02C: o <= 16'hFA9D;
80
11'h02D: o <= 16'hFA7E;
81
11'h02E: o <= 16'hFA60;
82
11'h02F: o <= 16'hFA41;
83
11'h030: o <= 16'hFA23;
84
11'h031: o <= 16'hFA04;
85
11'h032: o <= 16'hF9E6;
86
11'h033: o <= 16'hF9C7;
87
11'h034: o <= 16'hF9A9;
88
11'h035: o <= 16'hF98A;
89
11'h036: o <= 16'hF96C;
90
11'h037: o <= 16'hF94E;
91
11'h038: o <= 16'hF92F;
92
11'h039: o <= 16'hF911;
93
11'h03A: o <= 16'hF8F3;
94
11'h03B: o <= 16'hF8D4;
95
11'h03C: o <= 16'hF8B6;
96
11'h03D: o <= 16'hF898;
97
11'h03E: o <= 16'hF87A;
98
11'h03F: o <= 16'hF85C;
99
11'h040: o <= 16'hF83E;
100
11'h041: o <= 16'hF81F;
101
11'h042: o <= 16'hF801;
102
11'h043: o <= 16'hF7E3;
103
11'h044: o <= 16'hF7C5;
104
11'h045: o <= 16'hF7A7;
105
11'h046: o <= 16'hF78A;
106
11'h047: o <= 16'hF76C;
107
11'h048: o <= 16'hF74E;
108
11'h049: o <= 16'hF730;
109
11'h04A: o <= 16'hF712;
110
11'h04B: o <= 16'hF6F4;
111
11'h04C: o <= 16'hF6D7;
112
11'h04D: o <= 16'hF6B9;
113
11'h04E: o <= 16'hF69B;
114
11'h04F: o <= 16'hF67D;
115
11'h050: o <= 16'hF660;
116
11'h051: o <= 16'hF642;
117
11'h052: o <= 16'hF625;
118
11'h053: o <= 16'hF607;
119
11'h054: o <= 16'hF5E9;
120
11'h055: o <= 16'hF5CC;
121
11'h056: o <= 16'hF5AE;
122
11'h057: o <= 16'hF591;
123
11'h058: o <= 16'hF574;
124
11'h059: o <= 16'hF556;
125
11'h05A: o <= 16'hF539;
126
11'h05B: o <= 16'hF51B;
127
11'h05C: o <= 16'hF4FE;
128
11'h05D: o <= 16'hF4E1;
129
11'h05E: o <= 16'hF4C4;
130
11'h05F: o <= 16'hF4A6;
131
11'h060: o <= 16'hF489;
132
11'h061: o <= 16'hF46C;
133
11'h062: o <= 16'hF44F;
134
11'h063: o <= 16'hF432;
135
11'h064: o <= 16'hF414;
136
11'h065: o <= 16'hF3F7;
137
11'h066: o <= 16'hF3DA;
138
11'h067: o <= 16'hF3BD;
139
11'h068: o <= 16'hF3A0;
140
11'h069: o <= 16'hF383;
141
11'h06A: o <= 16'hF366;
142
11'h06B: o <= 16'hF34A;
143
11'h06C: o <= 16'hF32D;
144
11'h06D: o <= 16'hF310;
145
11'h06E: o <= 16'hF2F3;
146
11'h06F: o <= 16'hF2D6;
147
11'h070: o <= 16'hF2B9;
148
11'h071: o <= 16'hF29D;
149
11'h072: o <= 16'hF280;
150
11'h073: o <= 16'hF263;
151
11'h074: o <= 16'hF246;
152
11'h075: o <= 16'hF22A;
153
11'h076: o <= 16'hF20D;
154
11'h077: o <= 16'hF1F1;
155
11'h078: o <= 16'hF1D4;
156
11'h079: o <= 16'hF1B8;
157
11'h07A: o <= 16'hF19B;
158
11'h07B: o <= 16'hF17E;
159
11'h07C: o <= 16'hF162;
160
11'h07D: o <= 16'hF146;
161
11'h07E: o <= 16'hF129;
162
11'h07F: o <= 16'hF10D;
163
11'h080: o <= 16'hF0F0;
164
11'h081: o <= 16'hF0D4;
165
11'h082: o <= 16'hF0B8;
166
11'h083: o <= 16'hF09C;
167
11'h084: o <= 16'hF07F;
168
11'h085: o <= 16'hF063;
169
11'h086: o <= 16'hF047;
170
11'h087: o <= 16'hF02B;
171
11'h088: o <= 16'hF00F;
172
11'h089: o <= 16'hEFF2;
173
11'h08A: o <= 16'hEFD6;
174
11'h08B: o <= 16'hEFBA;
175
11'h08C: o <= 16'hEF9E;
176
11'h08D: o <= 16'hEF82;
177
11'h08E: o <= 16'hEF66;
178
11'h08F: o <= 16'hEF4A;
179
11'h090: o <= 16'hEF2E;
180
11'h091: o <= 16'hEF12;
181
11'h092: o <= 16'hEEF6;
182
11'h093: o <= 16'hEEDB;
183
11'h094: o <= 16'hEEBF;
184
11'h095: o <= 16'hEEA3;
185
11'h096: o <= 16'hEE87;
186
11'h097: o <= 16'hEE6B;
187
11'h098: o <= 16'hEE50;
188
11'h099: o <= 16'hEE34;
189
11'h09A: o <= 16'hEE18;
190
11'h09B: o <= 16'hEDFC;
191
11'h09C: o <= 16'hEDE1;
192
11'h09D: o <= 16'hEDC5;
193
11'h09E: o <= 16'hEDAA;
194
11'h09F: o <= 16'hED8E;
195
11'h0A0: o <= 16'hED73;
196
11'h0A1: o <= 16'hED57;
197
11'h0A2: o <= 16'hED3C;
198
11'h0A3: o <= 16'hED20;
199
11'h0A4: o <= 16'hED05;
200
11'h0A5: o <= 16'hECE9;
201
11'h0A6: o <= 16'hECCE;
202
11'h0A7: o <= 16'hECB2;
203
11'h0A8: o <= 16'hEC97;
204
11'h0A9: o <= 16'hEC7C;
205
11'h0AA: o <= 16'hEC60;
206
11'h0AB: o <= 16'hEC45;
207
11'h0AC: o <= 16'hEC2A;
208
11'h0AD: o <= 16'hEC0F;
209
11'h0AE: o <= 16'hEBF4;
210
11'h0AF: o <= 16'hEBD8;
211
11'h0B0: o <= 16'hEBBD;
212
11'h0B1: o <= 16'hEBA2;
213
11'h0B2: o <= 16'hEB87;
214
11'h0B3: o <= 16'hEB6C;
215
11'h0B4: o <= 16'hEB51;
216
11'h0B5: o <= 16'hEB36;
217
11'h0B6: o <= 16'hEB1B;
218
11'h0B7: o <= 16'hEB00;
219
11'h0B8: o <= 16'hEAE5;
220
11'h0B9: o <= 16'hEACA;
221
11'h0BA: o <= 16'hEAAF;
222
11'h0BB: o <= 16'hEA94;
223
11'h0BC: o <= 16'hEA79;
224
11'h0BD: o <= 16'hEA5E;
225
11'h0BE: o <= 16'hEA44;
226
11'h0BF: o <= 16'hEA29;
227
11'h0C0: o <= 16'hEA0E;
228
11'h0C1: o <= 16'hE9F3;
229
11'h0C2: o <= 16'hE9D9;
230
11'h0C3: o <= 16'hE9BE;
231
11'h0C4: o <= 16'hE9A3;
232
11'h0C5: o <= 16'hE989;
233
11'h0C6: o <= 16'hE96E;
234
11'h0C7: o <= 16'hE953;
235
11'h0C8: o <= 16'hE939;
236
11'h0C9: o <= 16'hE91E;
237
11'h0CA: o <= 16'hE904;
238
11'h0CB: o <= 16'hE8E9;
239
11'h0CC: o <= 16'hE8CF;
240
11'h0CD: o <= 16'hE8B4;
241
11'h0CE: o <= 16'hE89A;
242
11'h0CF: o <= 16'hE880;
243
11'h0D0: o <= 16'hE865;
244
11'h0D1: o <= 16'hE84B;
245
11'h0D2: o <= 16'hE830;
246
11'h0D3: o <= 16'hE816;
247
11'h0D4: o <= 16'hE7FC;
248
11'h0D5: o <= 16'hE7E2;
249
11'h0D6: o <= 16'hE7C7;
250
11'h0D7: o <= 16'hE7AD;
251
11'h0D8: o <= 16'hE793;
252
11'h0D9: o <= 16'hE779;
253
11'h0DA: o <= 16'hE75F;
254
11'h0DB: o <= 16'hE744;
255
11'h0DC: o <= 16'hE72A;
256
11'h0DD: o <= 16'hE710;
257
11'h0DE: o <= 16'hE6F6;
258
11'h0DF: o <= 16'hE6DC;
259
11'h0E0: o <= 16'hE6C2;
260
11'h0E1: o <= 16'hE6A8;
261
11'h0E2: o <= 16'hE68E;
262
11'h0E3: o <= 16'hE674;
263
11'h0E4: o <= 16'hE65A;
264
11'h0E5: o <= 16'hE640;
265
11'h0E6: o <= 16'hE627;
266
11'h0E7: o <= 16'hE60D;
267
11'h0E8: o <= 16'hE5F3;
268
11'h0E9: o <= 16'hE5D9;
269
11'h0EA: o <= 16'hE5BF;
270
11'h0EB: o <= 16'hE5A6;
271
11'h0EC: o <= 16'hE58C;
272
11'h0ED: o <= 16'hE572;
273
11'h0EE: o <= 16'hE558;
274
11'h0EF: o <= 16'hE53F;
275
11'h0F0: o <= 16'hE525;
276
11'h0F1: o <= 16'hE50B;
277
11'h0F2: o <= 16'hE4F2;
278
11'h0F3: o <= 16'hE4D8;
279
11'h0F4: o <= 16'hE4BF;
280
11'h0F5: o <= 16'hE4A5;
281
11'h0F6: o <= 16'hE48C;
282
11'h0F7: o <= 16'hE472;
283
11'h0F8: o <= 16'hE459;
284
11'h0F9: o <= 16'hE43F;
285
11'h0FA: o <= 16'hE426;
286
11'h0FB: o <= 16'hE40C;
287
11'h0FC: o <= 16'hE3F3;
288
11'h0FD: o <= 16'hE3DA;
289
11'h0FE: o <= 16'hE3C0;
290
11'h0FF: o <= 16'hE3A7;
291
11'h100: o <= 16'hE38E;
292
11'h101: o <= 16'hE374;
293
11'h102: o <= 16'hE35B;
294
11'h103: o <= 16'hE342;
295
11'h104: o <= 16'hE329;
296
11'h105: o <= 16'hE310;
297
11'h106: o <= 16'hE2F6;
298
11'h107: o <= 16'hE2DD;
299
11'h108: o <= 16'hE2C4;
300
11'h109: o <= 16'hE2AB;
301
11'h10A: o <= 16'hE292;
302
11'h10B: o <= 16'hE279;
303
11'h10C: o <= 16'hE260;
304
11'h10D: o <= 16'hE247;
305
11'h10E: o <= 16'hE22E;
306
11'h10F: o <= 16'hE215;
307
11'h110: o <= 16'hE1FC;
308
11'h111: o <= 16'hE1E3;
309
11'h112: o <= 16'hE1CA;
310
11'h113: o <= 16'hE1B1;
311
11'h114: o <= 16'hE198;
312
11'h115: o <= 16'hE180;
313
11'h116: o <= 16'hE167;
314
11'h117: o <= 16'hE14E;
315
11'h118: o <= 16'hE135;
316
11'h119: o <= 16'hE11C;
317
11'h11A: o <= 16'hE104;
318
11'h11B: o <= 16'hE0EB;
319
11'h11C: o <= 16'hE0D2;
320
11'h11D: o <= 16'hE0BA;
321
11'h11E: o <= 16'hE0A1;
322
11'h11F: o <= 16'hE088;
323
11'h120: o <= 16'hE070;
324
11'h121: o <= 16'hE057;
325
11'h122: o <= 16'hE03F;
326
11'h123: o <= 16'hE026;
327
11'h124: o <= 16'hE00E;
328
11'h125: o <= 16'hDFF5;
329
11'h126: o <= 16'hDFDD;
330
11'h127: o <= 16'hDFC4;
331
11'h128: o <= 16'hDFAC;
332
11'h129: o <= 16'hDF93;
333
11'h12A: o <= 16'hDF7B;
334
11'h12B: o <= 16'hDF62;
335
11'h12C: o <= 16'hDF4A;
336
11'h12D: o <= 16'hDF32;
337
11'h12E: o <= 16'hDF19;
338
11'h12F: o <= 16'hDF01;
339
11'h130: o <= 16'hDEE9;
340
11'h131: o <= 16'hDED1;
341
11'h132: o <= 16'hDEB8;
342
11'h133: o <= 16'hDEA0;
343
11'h134: o <= 16'hDE88;
344
11'h135: o <= 16'hDE70;
345
11'h136: o <= 16'hDE58;
346
11'h137: o <= 16'hDE40;
347
11'h138: o <= 16'hDE27;
348
11'h139: o <= 16'hDE0F;
349
11'h13A: o <= 16'hDDF7;
350
11'h13B: o <= 16'hDDDF;
351
11'h13C: o <= 16'hDDC7;
352
11'h13D: o <= 16'hDDAF;
353
11'h13E: o <= 16'hDD97;
354
11'h13F: o <= 16'hDD7F;
355
11'h140: o <= 16'hDD67;
356
11'h141: o <= 16'hDD4F;
357
11'h142: o <= 16'hDD37;
358
11'h143: o <= 16'hDD20;
359
11'h144: o <= 16'hDD08;
360
11'h145: o <= 16'hDCF0;
361
11'h146: o <= 16'hDCD8;
362
11'h147: o <= 16'hDCC0;
363
11'h148: o <= 16'hDCA8;
364
11'h149: o <= 16'hDC91;
365
11'h14A: o <= 16'hDC79;
366
11'h14B: o <= 16'hDC61;
367
11'h14C: o <= 16'hDC4A;
368
11'h14D: o <= 16'hDC32;
369
11'h14E: o <= 16'hDC1A;
370
11'h14F: o <= 16'hDC03;
371
11'h150: o <= 16'hDBEB;
372
11'h151: o <= 16'hDBD3;
373
11'h152: o <= 16'hDBBC;
374
11'h153: o <= 16'hDBA4;
375
11'h154: o <= 16'hDB8D;
376
11'h155: o <= 16'hDB75;
377
11'h156: o <= 16'hDB5E;
378
11'h157: o <= 16'hDB46;
379
11'h158: o <= 16'hDB2F;
380
11'h159: o <= 16'hDB17;
381
11'h15A: o <= 16'hDB00;
382
11'h15B: o <= 16'hDAE8;
383
11'h15C: o <= 16'hDAD1;
384
11'h15D: o <= 16'hDABA;
385
11'h15E: o <= 16'hDAA2;
386
11'h15F: o <= 16'hDA8B;
387
11'h160: o <= 16'hDA74;
388
11'h161: o <= 16'hDA5C;
389
11'h162: o <= 16'hDA45;
390
11'h163: o <= 16'hDA2E;
391
11'h164: o <= 16'hDA17;
392
11'h165: o <= 16'hD9FF;
393
11'h166: o <= 16'hD9E8;
394
11'h167: o <= 16'hD9D1;
395
11'h168: o <= 16'hD9BA;
396
11'h169: o <= 16'hD9A3;
397
11'h16A: o <= 16'hD98C;
398
11'h16B: o <= 16'hD974;
399
11'h16C: o <= 16'hD95D;
400
11'h16D: o <= 16'hD946;
401
11'h16E: o <= 16'hD92F;
402
11'h16F: o <= 16'hD918;
403
11'h170: o <= 16'hD901;
404
11'h171: o <= 16'hD8EA;
405
11'h172: o <= 16'hD8D3;
406
11'h173: o <= 16'hD8BC;
407
11'h174: o <= 16'hD8A5;
408
11'h175: o <= 16'hD88E;
409
11'h176: o <= 16'hD878;
410
11'h177: o <= 16'hD861;
411
11'h178: o <= 16'hD84A;
412
11'h179: o <= 16'hD833;
413
11'h17A: o <= 16'hD81C;
414
11'h17B: o <= 16'hD805;
415
11'h17C: o <= 16'hD7EF;
416
11'h17D: o <= 16'hD7D8;
417
11'h17E: o <= 16'hD7C1;
418
11'h17F: o <= 16'hD7AA;
419
11'h180: o <= 16'hD794;
420
11'h181: o <= 16'hD77D;
421
11'h182: o <= 16'hD766;
422
11'h183: o <= 16'hD750;
423
11'h184: o <= 16'hD739;
424
11'h185: o <= 16'hD722;
425
11'h186: o <= 16'hD70C;
426
11'h187: o <= 16'hD6F5;
427
11'h188: o <= 16'hD6DF;
428
11'h189: o <= 16'hD6C8;
429
11'h18A: o <= 16'hD6B2;
430
11'h18B: o <= 16'hD69B;
431
11'h18C: o <= 16'hD685;
432
11'h18D: o <= 16'hD66E;
433
11'h18E: o <= 16'hD658;
434
11'h18F: o <= 16'hD641;
435
11'h190: o <= 16'hD62B;
436
11'h191: o <= 16'hD615;
437
11'h192: o <= 16'hD5FE;
438
11'h193: o <= 16'hD5E8;
439
11'h194: o <= 16'hD5D2;
440
11'h195: o <= 16'hD5BB;
441
11'h196: o <= 16'hD5A5;
442
11'h197: o <= 16'hD58F;
443
11'h198: o <= 16'hD578;
444
11'h199: o <= 16'hD562;
445
11'h19A: o <= 16'hD54C;
446
11'h19B: o <= 16'hD536;
447
11'h19C: o <= 16'hD520;
448
11'h19D: o <= 16'hD509;
449
11'h19E: o <= 16'hD4F3;
450
11'h19F: o <= 16'hD4DD;
451
11'h1A0: o <= 16'hD4C7;
452
11'h1A1: o <= 16'hD4B1;
453
11'h1A2: o <= 16'hD49B;
454
11'h1A3: o <= 16'hD485;
455
11'h1A4: o <= 16'hD46F;
456
11'h1A5: o <= 16'hD459;
457
11'h1A6: o <= 16'hD443;
458
11'h1A7: o <= 16'hD42D;
459
11'h1A8: o <= 16'hD417;
460
11'h1A9: o <= 16'hD401;
461
11'h1AA: o <= 16'hD3EB;
462
11'h1AB: o <= 16'hD3D5;
463
11'h1AC: o <= 16'hD3BF;
464
11'h1AD: o <= 16'hD3A9;
465
11'h1AE: o <= 16'hD393;
466
11'h1AF: o <= 16'hD37D;
467
11'h1B0: o <= 16'hD368;
468
11'h1B1: o <= 16'hD352;
469
11'h1B2: o <= 16'hD33C;
470
11'h1B3: o <= 16'hD326;
471
11'h1B4: o <= 16'hD310;
472
11'h1B5: o <= 16'hD2FB;
473
11'h1B6: o <= 16'hD2E5;
474
11'h1B7: o <= 16'hD2CF;
475
11'h1B8: o <= 16'hD2BA;
476
11'h1B9: o <= 16'hD2A4;
477
11'h1BA: o <= 16'hD28E;
478
11'h1BB: o <= 16'hD279;
479
11'h1BC: o <= 16'hD263;
480
11'h1BD: o <= 16'hD24D;
481
11'h1BE: o <= 16'hD238;
482
11'h1BF: o <= 16'hD222;
483
11'h1C0: o <= 16'hD20D;
484
11'h1C1: o <= 16'hD1F7;
485
11'h1C2: o <= 16'hD1E2;
486
11'h1C3: o <= 16'hD1CC;
487
11'h1C4: o <= 16'hD1B7;
488
11'h1C5: o <= 16'hD1A1;
489
11'h1C6: o <= 16'hD18C;
490
11'h1C7: o <= 16'hD176;
491
11'h1C8: o <= 16'hD161;
492
11'h1C9: o <= 16'hD14B;
493
11'h1CA: o <= 16'hD136;
494
11'h1CB: o <= 16'hD121;
495
11'h1CC: o <= 16'hD10B;
496
11'h1CD: o <= 16'hD0F6;
497
11'h1CE: o <= 16'hD0E1;
498
11'h1CF: o <= 16'hD0CB;
499
11'h1D0: o <= 16'hD0B6;
500
11'h1D1: o <= 16'hD0A1;
501
11'h1D2: o <= 16'hD08C;
502
11'h1D3: o <= 16'hD076;
503
11'h1D4: o <= 16'hD061;
504
11'h1D5: o <= 16'hD04C;
505
11'h1D6: o <= 16'hD037;
506
11'h1D7: o <= 16'hD022;
507
11'h1D8: o <= 16'hD00D;
508
11'h1D9: o <= 16'hCFF7;
509
11'h1DA: o <= 16'hCFE2;
510
11'h1DB: o <= 16'hCFCD;
511
11'h1DC: o <= 16'hCFB8;
512
11'h1DD: o <= 16'hCFA3;
513
11'h1DE: o <= 16'hCF8E;
514
11'h1DF: o <= 16'hCF79;
515
11'h1E0: o <= 16'hCF64;
516
11'h1E1: o <= 16'hCF4F;
517
11'h1E2: o <= 16'hCF3A;
518
11'h1E3: o <= 16'hCF25;
519
11'h1E4: o <= 16'hCF10;
520
11'h1E5: o <= 16'hCEFB;
521
11'h1E6: o <= 16'hCEE6;
522
11'h1E7: o <= 16'hCED1;
523
11'h1E8: o <= 16'hCEBC;
524
11'h1E9: o <= 16'hCEA8;
525
11'h1EA: o <= 16'hCE93;
526
11'h1EB: o <= 16'hCE7E;
527
11'h1EC: o <= 16'hCE69;
528
11'h1ED: o <= 16'hCE54;
529
11'h1EE: o <= 16'hCE40;
530
11'h1EF: o <= 16'hCE2B;
531
11'h1F0: o <= 16'hCE16;
532
11'h1F1: o <= 16'hCE01;
533
11'h1F2: o <= 16'hCDED;
534
11'h1F3: o <= 16'hCDD8;
535
11'h1F4: o <= 16'hCDC3;
536
11'h1F5: o <= 16'hCDAF;
537
11'h1F6: o <= 16'hCD9A;
538
11'h1F7: o <= 16'hCD85;
539
11'h1F8: o <= 16'hCD71;
540
11'h1F9: o <= 16'hCD5C;
541
11'h1FA: o <= 16'hCD47;
542
11'h1FB: o <= 16'hCD33;
543
11'h1FC: o <= 16'hCD1E;
544
11'h1FD: o <= 16'hCD0A;
545
11'h1FE: o <= 16'hCCF5;
546
11'h1FF: o <= 16'hCCE1;
547
11'h200: o <= 16'hCCCC;
548
11'h201: o <= 16'hCCB8;
549
11'h202: o <= 16'hCCA3;
550
11'h203: o <= 16'hCC8F;
551
11'h204: o <= 16'hCC7B;
552
11'h205: o <= 16'hCC66;
553
11'h206: o <= 16'hCC52;
554
11'h207: o <= 16'hCC3D;
555
11'h208: o <= 16'hCC29;
556
11'h209: o <= 16'hCC15;
557
11'h20A: o <= 16'hCC00;
558
11'h20B: o <= 16'hCBEC;
559
11'h20C: o <= 16'hCBD8;
560
11'h20D: o <= 16'hCBC3;
561
11'h20E: o <= 16'hCBAF;
562
11'h20F: o <= 16'hCB9B;
563
11'h210: o <= 16'hCB87;
564
11'h211: o <= 16'hCB72;
565
11'h212: o <= 16'hCB5E;
566
11'h213: o <= 16'hCB4A;
567
11'h214: o <= 16'hCB36;
568
11'h215: o <= 16'hCB22;
569
11'h216: o <= 16'hCB0E;
570
11'h217: o <= 16'hCAF9;
571
11'h218: o <= 16'hCAE5;
572
11'h219: o <= 16'hCAD1;
573
11'h21A: o <= 16'hCABD;
574
11'h21B: o <= 16'hCAA9;
575
11'h21C: o <= 16'hCA95;
576
11'h21D: o <= 16'hCA81;
577
11'h21E: o <= 16'hCA6D;
578
11'h21F: o <= 16'hCA59;
579
11'h220: o <= 16'hCA45;
580
11'h221: o <= 16'hCA31;
581
11'h222: o <= 16'hCA1D;
582
11'h223: o <= 16'hCA09;
583
11'h224: o <= 16'hC9F5;
584
11'h225: o <= 16'hC9E1;
585
11'h226: o <= 16'hC9CD;
586
11'h227: o <= 16'hC9BA;
587
11'h228: o <= 16'hC9A6;
588
11'h229: o <= 16'hC992;
589
11'h22A: o <= 16'hC97E;
590
11'h22B: o <= 16'hC96A;
591
11'h22C: o <= 16'hC956;
592
11'h22D: o <= 16'hC943;
593
11'h22E: o <= 16'hC92F;
594
11'h22F: o <= 16'hC91B;
595
11'h230: o <= 16'hC907;
596
11'h231: o <= 16'hC8F4;
597
11'h232: o <= 16'hC8E0;
598
11'h233: o <= 16'hC8CC;
599
11'h234: o <= 16'hC8B9;
600
11'h235: o <= 16'hC8A5;
601
11'h236: o <= 16'hC891;
602
11'h237: o <= 16'hC87E;
603
11'h238: o <= 16'hC86A;
604
11'h239: o <= 16'hC856;
605
11'h23A: o <= 16'hC843;
606
11'h23B: o <= 16'hC82F;
607
11'h23C: o <= 16'hC81C;
608
11'h23D: o <= 16'hC808;
609
11'h23E: o <= 16'hC7F5;
610
11'h23F: o <= 16'hC7E1;
611
11'h240: o <= 16'hC7CE;
612
11'h241: o <= 16'hC7BA;
613
11'h242: o <= 16'hC7A7;
614
11'h243: o <= 16'hC793;
615
11'h244: o <= 16'hC780;
616
11'h245: o <= 16'hC76C;
617
11'h246: o <= 16'hC759;
618
11'h247: o <= 16'hC745;
619
11'h248: o <= 16'hC732;
620
11'h249: o <= 16'hC71F;
621
11'h24A: o <= 16'hC70B;
622
11'h24B: o <= 16'hC6F8;
623
11'h24C: o <= 16'hC6E5;
624
11'h24D: o <= 16'hC6D1;
625
11'h24E: o <= 16'hC6BE;
626
11'h24F: o <= 16'hC6AB;
627
11'h250: o <= 16'hC698;
628
11'h251: o <= 16'hC684;
629
11'h252: o <= 16'hC671;
630
11'h253: o <= 16'hC65E;
631
11'h254: o <= 16'hC64B;
632
11'h255: o <= 16'hC637;
633
11'h256: o <= 16'hC624;
634
11'h257: o <= 16'hC611;
635
11'h258: o <= 16'hC5FE;
636
11'h259: o <= 16'hC5EB;
637
11'h25A: o <= 16'hC5D8;
638
11'h25B: o <= 16'hC5C5;
639
11'h25C: o <= 16'hC5B2;
640
11'h25D: o <= 16'hC59E;
641
11'h25E: o <= 16'hC58B;
642
11'h25F: o <= 16'hC578;
643
11'h260: o <= 16'hC565;
644
11'h261: o <= 16'hC552;
645
11'h262: o <= 16'hC53F;
646
11'h263: o <= 16'hC52C;
647
11'h264: o <= 16'hC519;
648
11'h265: o <= 16'hC506;
649
11'h266: o <= 16'hC4F3;
650
11'h267: o <= 16'hC4E0;
651
11'h268: o <= 16'hC4CE;
652
11'h269: o <= 16'hC4BB;
653
11'h26A: o <= 16'hC4A8;
654
11'h26B: o <= 16'hC495;
655
11'h26C: o <= 16'hC482;
656
11'h26D: o <= 16'hC46F;
657
11'h26E: o <= 16'hC45C;
658
11'h26F: o <= 16'hC449;
659
11'h270: o <= 16'hC437;
660
11'h271: o <= 16'hC424;
661
11'h272: o <= 16'hC411;
662
11'h273: o <= 16'hC3FE;
663
11'h274: o <= 16'hC3EC;
664
11'h275: o <= 16'hC3D9;
665
11'h276: o <= 16'hC3C6;
666
11'h277: o <= 16'hC3B3;
667
11'h278: o <= 16'hC3A1;
668
11'h279: o <= 16'hC38E;
669
11'h27A: o <= 16'hC37B;
670
11'h27B: o <= 16'hC369;
671
11'h27C: o <= 16'hC356;
672
11'h27D: o <= 16'hC343;
673
11'h27E: o <= 16'hC331;
674
11'h27F: o <= 16'hC31E;
675
11'h280: o <= 16'hC30C;
676
11'h281: o <= 16'hC2F9;
677
11'h282: o <= 16'hC2E7;
678
11'h283: o <= 16'hC2D4;
679
11'h284: o <= 16'hC2C1;
680
11'h285: o <= 16'hC2AF;
681
11'h286: o <= 16'hC29C;
682
11'h287: o <= 16'hC28A;
683
11'h288: o <= 16'hC278;
684
11'h289: o <= 16'hC265;
685
11'h28A: o <= 16'hC253;
686
11'h28B: o <= 16'hC240;
687
11'h28C: o <= 16'hC22E;
688
11'h28D: o <= 16'hC21B;
689
11'h28E: o <= 16'hC209;
690
11'h28F: o <= 16'hC1F7;
691
11'h290: o <= 16'hC1E4;
692
11'h291: o <= 16'hC1D2;
693
11'h292: o <= 16'hC1C0;
694
11'h293: o <= 16'hC1AD;
695
11'h294: o <= 16'hC19B;
696
11'h295: o <= 16'hC189;
697
11'h296: o <= 16'hC176;
698
11'h297: o <= 16'hC164;
699
11'h298: o <= 16'hC152;
700
11'h299: o <= 16'hC140;
701
11'h29A: o <= 16'hC12D;
702
11'h29B: o <= 16'hC11B;
703
11'h29C: o <= 16'hC109;
704
11'h29D: o <= 16'hC0F7;
705
11'h29E: o <= 16'hC0E5;
706
11'h29F: o <= 16'hC0D2;
707
11'h2A0: o <= 16'hC0C0;
708
11'h2A1: o <= 16'hC0AE;
709
11'h2A2: o <= 16'hC09C;
710
11'h2A3: o <= 16'hC08A;
711
11'h2A4: o <= 16'hC078;
712
11'h2A5: o <= 16'hC066;
713
11'h2A6: o <= 16'hC054;
714
11'h2A7: o <= 16'hC042;
715
11'h2A8: o <= 16'hC030;
716
11'h2A9: o <= 16'hC01E;
717
11'h2AA: o <= 16'hC00C;
718
11'h2AB: o <= 16'hBFFA;
719
11'h2AC: o <= 16'hBFE8;
720
11'h2AD: o <= 16'hBFD6;
721
11'h2AE: o <= 16'hBFC4;
722
11'h2AF: o <= 16'hBFB2;
723
11'h2B0: o <= 16'hBFA0;
724
11'h2B1: o <= 16'hBF8E;
725
11'h2B2: o <= 16'hBF7C;
726
11'h2B3: o <= 16'hBF6A;
727
11'h2B4: o <= 16'hBF58;
728
11'h2B5: o <= 16'hBF46;
729
11'h2B6: o <= 16'hBF34;
730
11'h2B7: o <= 16'hBF22;
731
11'h2B8: o <= 16'hBF11;
732
11'h2B9: o <= 16'hBEFF;
733
11'h2BA: o <= 16'hBEED;
734
11'h2BB: o <= 16'hBEDB;
735
11'h2BC: o <= 16'hBEC9;
736
11'h2BD: o <= 16'hBEB8;
737
11'h2BE: o <= 16'hBEA6;
738
11'h2BF: o <= 16'hBE94;
739
11'h2C0: o <= 16'hBE82;
740
11'h2C1: o <= 16'hBE71;
741
11'h2C2: o <= 16'hBE5F;
742
11'h2C3: o <= 16'hBE4D;
743
11'h2C4: o <= 16'hBE3C;
744
11'h2C5: o <= 16'hBE2A;
745
11'h2C6: o <= 16'hBE18;
746
11'h2C7: o <= 16'hBE07;
747
11'h2C8: o <= 16'hBDF5;
748
11'h2C9: o <= 16'hBDE3;
749
11'h2CA: o <= 16'hBDD2;
750
11'h2CB: o <= 16'hBDC0;
751
11'h2CC: o <= 16'hBDAF;
752
11'h2CD: o <= 16'hBD9D;
753
11'h2CE: o <= 16'hBD8C;
754
11'h2CF: o <= 16'hBD7A;
755
11'h2D0: o <= 16'hBD69;
756
11'h2D1: o <= 16'hBD57;
757
11'h2D2: o <= 16'hBD46;
758
11'h2D3: o <= 16'hBD34;
759
11'h2D4: o <= 16'hBD23;
760
11'h2D5: o <= 16'hBD11;
761
11'h2D6: o <= 16'hBD00;
762
11'h2D7: o <= 16'hBCEE;
763
11'h2D8: o <= 16'hBCDD;
764
11'h2D9: o <= 16'hBCCB;
765
11'h2DA: o <= 16'hBCBA;
766
11'h2DB: o <= 16'hBCA9;
767
11'h2DC: o <= 16'hBC97;
768
11'h2DD: o <= 16'hBC86;
769
11'h2DE: o <= 16'hBC75;
770
11'h2DF: o <= 16'hBC63;
771
11'h2E0: o <= 16'hBC52;
772
11'h2E1: o <= 16'hBC41;
773
11'h2E2: o <= 16'hBC2F;
774
11'h2E3: o <= 16'hBC1E;
775
11'h2E4: o <= 16'hBC0D;
776
11'h2E5: o <= 16'hBBFB;
777
11'h2E6: o <= 16'hBBEA;
778
11'h2E7: o <= 16'hBBD9;
779
11'h2E8: o <= 16'hBBC8;
780
11'h2E9: o <= 16'hBBB7;
781
11'h2EA: o <= 16'hBBA5;
782
11'h2EB: o <= 16'hBB94;
783
11'h2EC: o <= 16'hBB83;
784
11'h2ED: o <= 16'hBB72;
785
11'h2EE: o <= 16'hBB61;
786
11'h2EF: o <= 16'hBB50;
787
11'h2F0: o <= 16'hBB3E;
788
11'h2F1: o <= 16'hBB2D;
789
11'h2F2: o <= 16'hBB1C;
790
11'h2F3: o <= 16'hBB0B;
791
11'h2F4: o <= 16'hBAFA;
792
11'h2F5: o <= 16'hBAE9;
793
11'h2F6: o <= 16'hBAD8;
794
11'h2F7: o <= 16'hBAC7;
795
11'h2F8: o <= 16'hBAB6;
796
11'h2F9: o <= 16'hBAA5;
797
11'h2FA: o <= 16'hBA94;
798
11'h2FB: o <= 16'hBA83;
799
11'h2FC: o <= 16'hBA72;
800
11'h2FD: o <= 16'hBA61;
801
11'h2FE: o <= 16'hBA50;
802
11'h2FF: o <= 16'hBA3F;
803
11'h300: o <= 16'hBA2E;
804
11'h301: o <= 16'hBA1D;
805
11'h302: o <= 16'hBA0C;
806
11'h303: o <= 16'hB9FB;
807
11'h304: o <= 16'hB9EA;
808
11'h305: o <= 16'hB9DA;
809
11'h306: o <= 16'hB9C9;
810
11'h307: o <= 16'hB9B8;
811
11'h308: o <= 16'hB9A7;
812
11'h309: o <= 16'hB996;
813
11'h30A: o <= 16'hB985;
814
11'h30B: o <= 16'hB975;
815
11'h30C: o <= 16'hB964;
816
11'h30D: o <= 16'hB953;
817
11'h30E: o <= 16'hB942;
818
11'h30F: o <= 16'hB932;
819
11'h310: o <= 16'hB921;
820
11'h311: o <= 16'hB910;
821
11'h312: o <= 16'hB8FF;
822
11'h313: o <= 16'hB8EF;
823
11'h314: o <= 16'hB8DE;
824
11'h315: o <= 16'hB8CD;
825
11'h316: o <= 16'hB8BD;
826
11'h317: o <= 16'hB8AC;
827
11'h318: o <= 16'hB89B;
828
11'h319: o <= 16'hB88B;
829
11'h31A: o <= 16'hB87A;
830
11'h31B: o <= 16'hB869;
831
11'h31C: o <= 16'hB859;
832
11'h31D: o <= 16'hB848;
833
11'h31E: o <= 16'hB838;
834
11'h31F: o <= 16'hB827;
835
11'h320: o <= 16'hB817;
836
11'h321: o <= 16'hB806;
837
11'h322: o <= 16'hB7F5;
838
11'h323: o <= 16'hB7E5;
839
11'h324: o <= 16'hB7D4;
840
11'h325: o <= 16'hB7C4;
841
11'h326: o <= 16'hB7B3;
842
11'h327: o <= 16'hB7A3;
843
11'h328: o <= 16'hB793;
844
11'h329: o <= 16'hB782;
845
11'h32A: o <= 16'hB772;
846
11'h32B: o <= 16'hB761;
847
11'h32C: o <= 16'hB751;
848
11'h32D: o <= 16'hB740;
849
11'h32E: o <= 16'hB730;
850
11'h32F: o <= 16'hB720;
851
11'h330: o <= 16'hB70F;
852
11'h331: o <= 16'hB6FF;
853
11'h332: o <= 16'hB6EF;
854
11'h333: o <= 16'hB6DE;
855
11'h334: o <= 16'hB6CE;
856
11'h335: o <= 16'hB6BE;
857
11'h336: o <= 16'hB6AD;
858
11'h337: o <= 16'hB69D;
859
11'h338: o <= 16'hB68D;
860
11'h339: o <= 16'hB67C;
861
11'h33A: o <= 16'hB66C;
862
11'h33B: o <= 16'hB65C;
863
11'h33C: o <= 16'hB64C;
864
11'h33D: o <= 16'hB63B;
865
11'h33E: o <= 16'hB62B;
866
11'h33F: o <= 16'hB61B;
867
11'h340: o <= 16'hB60B;
868
11'h341: o <= 16'hB5FB;
869
11'h342: o <= 16'hB5EB;
870
11'h343: o <= 16'hB5DA;
871
11'h344: o <= 16'hB5CA;
872
11'h345: o <= 16'hB5BA;
873
11'h346: o <= 16'hB5AA;
874
11'h347: o <= 16'hB59A;
875
11'h348: o <= 16'hB58A;
876
11'h349: o <= 16'hB57A;
877
11'h34A: o <= 16'hB56A;
878
11'h34B: o <= 16'hB55A;
879
11'h34C: o <= 16'hB54A;
880
11'h34D: o <= 16'hB539;
881
11'h34E: o <= 16'hB529;
882
11'h34F: o <= 16'hB519;
883
11'h350: o <= 16'hB509;
884
11'h351: o <= 16'hB4F9;
885
11'h352: o <= 16'hB4E9;
886
11'h353: o <= 16'hB4D9;
887
11'h354: o <= 16'hB4C9;
888
11'h355: o <= 16'hB4BA;
889
11'h356: o <= 16'hB4AA;
890
11'h357: o <= 16'hB49A;
891
11'h358: o <= 16'hB48A;
892
11'h359: o <= 16'hB47A;
893
11'h35A: o <= 16'hB46A;
894
11'h35B: o <= 16'hB45A;
895
11'h35C: o <= 16'hB44A;
896
11'h35D: o <= 16'hB43A;
897
11'h35E: o <= 16'hB42A;
898
11'h35F: o <= 16'hB41B;
899
11'h360: o <= 16'hB40B;
900
11'h361: o <= 16'hB3FB;
901
11'h362: o <= 16'hB3EB;
902
11'h363: o <= 16'hB3DB;
903
11'h364: o <= 16'hB3CC;
904
11'h365: o <= 16'hB3BC;
905
11'h366: o <= 16'hB3AC;
906
11'h367: o <= 16'hB39C;
907
11'h368: o <= 16'hB38C;
908
11'h369: o <= 16'hB37D;
909
11'h36A: o <= 16'hB36D;
910
11'h36B: o <= 16'hB35D;
911
11'h36C: o <= 16'hB34E;
912
11'h36D: o <= 16'hB33E;
913
11'h36E: o <= 16'hB32E;
914
11'h36F: o <= 16'hB31F;
915
11'h370: o <= 16'hB30F;
916
11'h371: o <= 16'hB2FF;
917
11'h372: o <= 16'hB2F0;
918
11'h373: o <= 16'hB2E0;
919
11'h374: o <= 16'hB2D0;
920
11'h375: o <= 16'hB2C1;
921
11'h376: o <= 16'hB2B1;
922
11'h377: o <= 16'hB2A2;
923
11'h378: o <= 16'hB292;
924
11'h379: o <= 16'hB282;
925
11'h37A: o <= 16'hB273;
926
11'h37B: o <= 16'hB263;
927
11'h37C: o <= 16'hB254;
928
11'h37D: o <= 16'hB244;
929
11'h37E: o <= 16'hB235;
930
11'h37F: o <= 16'hB225;
931
11'h380: o <= 16'hB216;
932
11'h381: o <= 16'hB206;
933
11'h382: o <= 16'hB1F7;
934
11'h383: o <= 16'hB1E7;
935
11'h384: o <= 16'hB1D8;
936
11'h385: o <= 16'hB1C8;
937
11'h386: o <= 16'hB1B9;
938
11'h387: o <= 16'hB1AA;
939
11'h388: o <= 16'hB19A;
940
11'h389: o <= 16'hB18B;
941
11'h38A: o <= 16'hB17B;
942
11'h38B: o <= 16'hB16C;
943
11'h38C: o <= 16'hB15D;
944
11'h38D: o <= 16'hB14D;
945
11'h38E: o <= 16'hB13E;
946
11'h38F: o <= 16'hB12F;
947
11'h390: o <= 16'hB11F;
948
11'h391: o <= 16'hB110;
949
11'h392: o <= 16'hB101;
950
11'h393: o <= 16'hB0F1;
951
11'h394: o <= 16'hB0E2;
952
11'h395: o <= 16'hB0D3;
953
11'h396: o <= 16'hB0C4;
954
11'h397: o <= 16'hB0B4;
955
11'h398: o <= 16'hB0A5;
956
11'h399: o <= 16'hB096;
957
11'h39A: o <= 16'hB087;
958
11'h39B: o <= 16'hB077;
959
11'h39C: o <= 16'hB068;
960
11'h39D: o <= 16'hB059;
961
11'h39E: o <= 16'hB04A;
962
11'h39F: o <= 16'hB03B;
963
11'h3A0: o <= 16'hB02C;
964
11'h3A1: o <= 16'hB01C;
965
11'h3A2: o <= 16'hB00D;
966
11'h3A3: o <= 16'hAFFE;
967
11'h3A4: o <= 16'hAFEF;
968
11'h3A5: o <= 16'hAFE0;
969
11'h3A6: o <= 16'hAFD1;
970
11'h3A7: o <= 16'hAFC2;
971
11'h3A8: o <= 16'hAFB3;
972
11'h3A9: o <= 16'hAFA4;
973
11'h3AA: o <= 16'hAF95;
974
11'h3AB: o <= 16'hAF85;
975
11'h3AC: o <= 16'hAF76;
976
11'h3AD: o <= 16'hAF67;
977
11'h3AE: o <= 16'hAF58;
978
11'h3AF: o <= 16'hAF49;
979
11'h3B0: o <= 16'hAF3A;
980
11'h3B1: o <= 16'hAF2B;
981
11'h3B2: o <= 16'hAF1C;
982
11'h3B3: o <= 16'hAF0D;
983
11'h3B4: o <= 16'hAEFE;
984
11'h3B5: o <= 16'hAEF0;
985
11'h3B6: o <= 16'hAEE1;
986
11'h3B7: o <= 16'hAED2;
987
11'h3B8: o <= 16'hAEC3;
988
11'h3B9: o <= 16'hAEB4;
989
11'h3BA: o <= 16'hAEA5;
990
11'h3BB: o <= 16'hAE96;
991
11'h3BC: o <= 16'hAE87;
992
11'h3BD: o <= 16'hAE78;
993
11'h3BE: o <= 16'hAE69;
994
11'h3BF: o <= 16'hAE5B;
995
11'h3C0: o <= 16'hAE4C;
996
11'h3C1: o <= 16'hAE3D;
997
11'h3C2: o <= 16'hAE2E;
998
11'h3C3: o <= 16'hAE1F;
999
11'h3C4: o <= 16'hAE10;
1000
11'h3C5: o <= 16'hAE02;
1001
11'h3C6: o <= 16'hADF3;
1002
11'h3C7: o <= 16'hADE4;
1003
11'h3C8: o <= 16'hADD5;
1004
11'h3C9: o <= 16'hADC7;
1005
11'h3CA: o <= 16'hADB8;
1006
11'h3CB: o <= 16'hADA9;
1007
11'h3CC: o <= 16'hAD9A;
1008
11'h3CD: o <= 16'hAD8C;
1009
11'h3CE: o <= 16'hAD7D;
1010
11'h3CF: o <= 16'hAD6E;
1011
11'h3D0: o <= 16'hAD60;
1012
11'h3D1: o <= 16'hAD51;
1013
11'h3D2: o <= 16'hAD42;
1014
11'h3D3: o <= 16'hAD34;
1015
11'h3D4: o <= 16'hAD25;
1016
11'h3D5: o <= 16'hAD16;
1017
11'h3D6: o <= 16'hAD08;
1018
11'h3D7: o <= 16'hACF9;
1019
11'h3D8: o <= 16'hACEB;
1020
11'h3D9: o <= 16'hACDC;
1021
11'h3DA: o <= 16'hACCD;
1022
11'h3DB: o <= 16'hACBF;
1023
11'h3DC: o <= 16'hACB0;
1024
11'h3DD: o <= 16'hACA2;
1025
11'h3DE: o <= 16'hAC93;
1026
11'h3DF: o <= 16'hAC85;
1027
11'h3E0: o <= 16'hAC76;
1028
11'h3E1: o <= 16'hAC68;
1029
11'h3E2: o <= 16'hAC59;
1030
11'h3E3: o <= 16'hAC4B;
1031
11'h3E4: o <= 16'hAC3C;
1032
11'h3E5: o <= 16'hAC2E;
1033
11'h3E6: o <= 16'hAC1F;
1034
11'h3E7: o <= 16'hAC11;
1035
11'h3E8: o <= 16'hAC02;
1036
11'h3E9: o <= 16'hABF4;
1037
11'h3EA: o <= 16'hABE5;
1038
11'h3EB: o <= 16'hABD7;
1039
11'h3EC: o <= 16'hABC8;
1040
11'h3ED: o <= 16'hABBA;
1041
11'h3EE: o <= 16'hABAC;
1042
11'h3EF: o <= 16'hAB9D;
1043
11'h3F0: o <= 16'hAB8F;
1044
11'h3F1: o <= 16'hAB81;
1045
11'h3F2: o <= 16'hAB72;
1046
11'h3F3: o <= 16'hAB64;
1047
11'h3F4: o <= 16'hAB56;
1048
11'h3F5: o <= 16'hAB47;
1049
11'h3F6: o <= 16'hAB39;
1050
11'h3F7: o <= 16'hAB2B;
1051
11'h3F8: o <= 16'hAB1C;
1052
11'h3F9: o <= 16'hAB0E;
1053
11'h3FA: o <= 16'hAB00;
1054
11'h3FB: o <= 16'hAAF1;
1055
11'h3FC: o <= 16'hAAE3;
1056
11'h3FD: o <= 16'hAAD5;
1057
11'h3FE: o <= 16'hAAC7;
1058
11'h3FF: o <= 16'hAAB8;
1059
11'h400: o <= 16'hAAAA;
1060
11'h401: o <= 16'hAA9C;
1061
11'h402: o <= 16'hAA8E;
1062
11'h403: o <= 16'hAA80;
1063
11'h404: o <= 16'hAA71;
1064
11'h405: o <= 16'hAA63;
1065
11'h406: o <= 16'hAA55;
1066
11'h407: o <= 16'hAA47;
1067
11'h408: o <= 16'hAA39;
1068
11'h409: o <= 16'hAA2B;
1069
11'h40A: o <= 16'hAA1C;
1070
11'h40B: o <= 16'hAA0E;
1071
11'h40C: o <= 16'hAA00;
1072
11'h40D: o <= 16'hA9F2;
1073
11'h40E: o <= 16'hA9E4;
1074
11'h40F: o <= 16'hA9D6;
1075
11'h410: o <= 16'hA9C8;
1076
11'h411: o <= 16'hA9BA;
1077
11'h412: o <= 16'hA9AC;
1078
11'h413: o <= 16'hA99E;
1079
11'h414: o <= 16'hA990;
1080
11'h415: o <= 16'hA982;
1081
11'h416: o <= 16'hA974;
1082
11'h417: o <= 16'hA965;
1083
11'h418: o <= 16'hA957;
1084
11'h419: o <= 16'hA949;
1085
11'h41A: o <= 16'hA93B;
1086
11'h41B: o <= 16'hA92E;
1087
11'h41C: o <= 16'hA920;
1088
11'h41D: o <= 16'hA912;
1089
11'h41E: o <= 16'hA904;
1090
11'h41F: o <= 16'hA8F6;
1091
11'h420: o <= 16'hA8E8;
1092
11'h421: o <= 16'hA8DA;
1093
11'h422: o <= 16'hA8CC;
1094
11'h423: o <= 16'hA8BE;
1095
11'h424: o <= 16'hA8B0;
1096
11'h425: o <= 16'hA8A2;
1097
11'h426: o <= 16'hA894;
1098
11'h427: o <= 16'hA886;
1099
11'h428: o <= 16'hA879;
1100
11'h429: o <= 16'hA86B;
1101
11'h42A: o <= 16'hA85D;
1102
11'h42B: o <= 16'hA84F;
1103
11'h42C: o <= 16'hA841;
1104
11'h42D: o <= 16'hA833;
1105
11'h42E: o <= 16'hA826;
1106
11'h42F: o <= 16'hA818;
1107
11'h430: o <= 16'hA80A;
1108
11'h431: o <= 16'hA7FC;
1109
11'h432: o <= 16'hA7EE;
1110
11'h433: o <= 16'hA7E1;
1111
11'h434: o <= 16'hA7D3;
1112
11'h435: o <= 16'hA7C5;
1113
11'h436: o <= 16'hA7B7;
1114
11'h437: o <= 16'hA7AA;
1115
11'h438: o <= 16'hA79C;
1116
11'h439: o <= 16'hA78E;
1117
11'h43A: o <= 16'hA781;
1118
11'h43B: o <= 16'hA773;
1119
11'h43C: o <= 16'hA765;
1120
11'h43D: o <= 16'hA758;
1121
11'h43E: o <= 16'hA74A;
1122
11'h43F: o <= 16'hA73C;
1123
11'h440: o <= 16'hA72F;
1124
11'h441: o <= 16'hA721;
1125
11'h442: o <= 16'hA713;
1126
11'h443: o <= 16'hA706;
1127
11'h444: o <= 16'hA6F8;
1128
11'h445: o <= 16'hA6EA;
1129
11'h446: o <= 16'hA6DD;
1130
11'h447: o <= 16'hA6CF;
1131
11'h448: o <= 16'hA6C2;
1132
11'h449: o <= 16'hA6B4;
1133
11'h44A: o <= 16'hA6A6;
1134
11'h44B: o <= 16'hA699;
1135
11'h44C: o <= 16'hA68B;
1136
11'h44D: o <= 16'hA67E;
1137
11'h44E: o <= 16'hA670;
1138
11'h44F: o <= 16'hA663;
1139
11'h450: o <= 16'hA655;
1140
11'h451: o <= 16'hA648;
1141
11'h452: o <= 16'hA63A;
1142
11'h453: o <= 16'hA62D;
1143
11'h454: o <= 16'hA61F;
1144
11'h455: o <= 16'hA612;
1145
11'h456: o <= 16'hA604;
1146
11'h457: o <= 16'hA5F7;
1147
11'h458: o <= 16'hA5E9;
1148
11'h459: o <= 16'hA5DC;
1149
11'h45A: o <= 16'hA5CF;
1150
11'h45B: o <= 16'hA5C1;
1151
11'h45C: o <= 16'hA5B4;
1152
11'h45D: o <= 16'hA5A6;
1153
11'h45E: o <= 16'hA599;
1154
11'h45F: o <= 16'hA58C;
1155
11'h460: o <= 16'hA57E;
1156
11'h461: o <= 16'hA571;
1157
11'h462: o <= 16'hA563;
1158
11'h463: o <= 16'hA556;
1159
11'h464: o <= 16'hA549;
1160
11'h465: o <= 16'hA53B;
1161
11'h466: o <= 16'hA52E;
1162
11'h467: o <= 16'hA521;
1163
11'h468: o <= 16'hA513;
1164
11'h469: o <= 16'hA506;
1165
11'h46A: o <= 16'hA4F9;
1166
11'h46B: o <= 16'hA4EC;
1167
11'h46C: o <= 16'hA4DE;
1168
11'h46D: o <= 16'hA4D1;
1169
11'h46E: o <= 16'hA4C4;
1170
11'h46F: o <= 16'hA4B7;
1171
11'h470: o <= 16'hA4A9;
1172
11'h471: o <= 16'hA49C;
1173
11'h472: o <= 16'hA48F;
1174
11'h473: o <= 16'hA482;
1175
11'h474: o <= 16'hA474;
1176
11'h475: o <= 16'hA467;
1177
11'h476: o <= 16'hA45A;
1178
11'h477: o <= 16'hA44D;
1179
11'h478: o <= 16'hA440;
1180
11'h479: o <= 16'hA432;
1181
11'h47A: o <= 16'hA425;
1182
11'h47B: o <= 16'hA418;
1183
11'h47C: o <= 16'hA40B;
1184
11'h47D: o <= 16'hA3FE;
1185
11'h47E: o <= 16'hA3F1;
1186
11'h47F: o <= 16'hA3E4;
1187
11'h480: o <= 16'hA3D7;
1188
11'h481: o <= 16'hA3C9;
1189
11'h482: o <= 16'hA3BC;
1190
11'h483: o <= 16'hA3AF;
1191
11'h484: o <= 16'hA3A2;
1192
11'h485: o <= 16'hA395;
1193
11'h486: o <= 16'hA388;
1194
11'h487: o <= 16'hA37B;
1195
11'h488: o <= 16'hA36E;
1196
11'h489: o <= 16'hA361;
1197
11'h48A: o <= 16'hA354;
1198
11'h48B: o <= 16'hA347;
1199
11'h48C: o <= 16'hA33A;
1200
11'h48D: o <= 16'hA32D;
1201
11'h48E: o <= 16'hA320;
1202
11'h48F: o <= 16'hA313;
1203
11'h490: o <= 16'hA306;
1204
11'h491: o <= 16'hA2F9;
1205
11'h492: o <= 16'hA2EC;
1206
11'h493: o <= 16'hA2DF;
1207
11'h494: o <= 16'hA2D2;
1208
11'h495: o <= 16'hA2C5;
1209
11'h496: o <= 16'hA2B8;
1210
11'h497: o <= 16'hA2AB;
1211
11'h498: o <= 16'hA29E;
1212
11'h499: o <= 16'hA291;
1213
11'h49A: o <= 16'hA284;
1214
11'h49B: o <= 16'hA278;
1215
11'h49C: o <= 16'hA26B;
1216
11'h49D: o <= 16'hA25E;
1217
11'h49E: o <= 16'hA251;
1218
11'h49F: o <= 16'hA244;
1219
11'h4A0: o <= 16'hA237;
1220
11'h4A1: o <= 16'hA22A;
1221
11'h4A2: o <= 16'hA21E;
1222
11'h4A3: o <= 16'hA211;
1223
11'h4A4: o <= 16'hA204;
1224
11'h4A5: o <= 16'hA1F7;
1225
11'h4A6: o <= 16'hA1EA;
1226
11'h4A7: o <= 16'hA1DE;
1227
11'h4A8: o <= 16'hA1D1;
1228
11'h4A9: o <= 16'hA1C4;
1229
11'h4AA: o <= 16'hA1B7;
1230
11'h4AB: o <= 16'hA1AA;
1231
11'h4AC: o <= 16'hA19E;
1232
11'h4AD: o <= 16'hA191;
1233
11'h4AE: o <= 16'hA184;
1234
11'h4AF: o <= 16'hA177;
1235
11'h4B0: o <= 16'hA16B;
1236
11'h4B1: o <= 16'hA15E;
1237
11'h4B2: o <= 16'hA151;
1238
11'h4B3: o <= 16'hA145;
1239
11'h4B4: o <= 16'hA138;
1240
11'h4B5: o <= 16'hA12B;
1241
11'h4B6: o <= 16'hA11E;
1242
11'h4B7: o <= 16'hA112;
1243
11'h4B8: o <= 16'hA105;
1244
11'h4B9: o <= 16'hA0F9;
1245
11'h4BA: o <= 16'hA0EC;
1246
11'h4BB: o <= 16'hA0DF;
1247
11'h4BC: o <= 16'hA0D3;
1248
11'h4BD: o <= 16'hA0C6;
1249
11'h4BE: o <= 16'hA0B9;
1250
11'h4BF: o <= 16'hA0AD;
1251
11'h4C0: o <= 16'hA0A0;
1252
11'h4C1: o <= 16'hA094;
1253
11'h4C2: o <= 16'hA087;
1254
11'h4C3: o <= 16'hA07A;
1255
11'h4C4: o <= 16'hA06E;
1256
11'h4C5: o <= 16'hA061;
1257
11'h4C6: o <= 16'hA055;
1258
11'h4C7: o <= 16'hA048;
1259
11'h4C8: o <= 16'hA03C;
1260
11'h4C9: o <= 16'hA02F;
1261
11'h4CA: o <= 16'hA023;
1262
11'h4CB: o <= 16'hA016;
1263
11'h4CC: o <= 16'hA00A;
1264
11'h4CD: o <= 16'h9FFD;
1265
11'h4CE: o <= 16'h9FF1;
1266
11'h4CF: o <= 16'h9FE4;
1267
11'h4D0: o <= 16'h9FD8;
1268
11'h4D1: o <= 16'h9FCB;
1269
11'h4D2: o <= 16'h9FBF;
1270
11'h4D3: o <= 16'h9FB2;
1271
11'h4D4: o <= 16'h9FA6;
1272
11'h4D5: o <= 16'h9F99;
1273
11'h4D6: o <= 16'h9F8D;
1274
11'h4D7: o <= 16'h9F80;
1275
11'h4D8: o <= 16'h9F74;
1276
11'h4D9: o <= 16'h9F68;
1277
11'h4DA: o <= 16'h9F5B;
1278
11'h4DB: o <= 16'h9F4F;
1279
11'h4DC: o <= 16'h9F42;
1280
11'h4DD: o <= 16'h9F36;
1281
11'h4DE: o <= 16'h9F2A;
1282
11'h4DF: o <= 16'h9F1D;
1283
11'h4E0: o <= 16'h9F11;
1284
11'h4E1: o <= 16'h9F05;
1285
11'h4E2: o <= 16'h9EF8;
1286
11'h4E3: o <= 16'h9EEC;
1287
11'h4E4: o <= 16'h9EE0;
1288
11'h4E5: o <= 16'h9ED3;
1289
11'h4E6: o <= 16'h9EC7;
1290
11'h4E7: o <= 16'h9EBB;
1291
11'h4E8: o <= 16'h9EAE;
1292
11'h4E9: o <= 16'h9EA2;
1293
11'h4EA: o <= 16'h9E96;
1294
11'h4EB: o <= 16'h9E89;
1295
11'h4EC: o <= 16'h9E7D;
1296
11'h4ED: o <= 16'h9E71;
1297
11'h4EE: o <= 16'h9E65;
1298
11'h4EF: o <= 16'h9E58;
1299
11'h4F0: o <= 16'h9E4C;
1300
11'h4F1: o <= 16'h9E40;
1301
11'h4F2: o <= 16'h9E34;
1302
11'h4F3: o <= 16'h9E28;
1303
11'h4F4: o <= 16'h9E1B;
1304
11'h4F5: o <= 16'h9E0F;
1305
11'h4F6: o <= 16'h9E03;
1306
11'h4F7: o <= 16'h9DF7;
1307
11'h4F8: o <= 16'h9DEB;
1308
11'h4F9: o <= 16'h9DDE;
1309
11'h4FA: o <= 16'h9DD2;
1310
11'h4FB: o <= 16'h9DC6;
1311
11'h4FC: o <= 16'h9DBA;
1312
11'h4FD: o <= 16'h9DAE;
1313
11'h4FE: o <= 16'h9DA2;
1314
11'h4FF: o <= 16'h9D95;
1315
11'h500: o <= 16'h9D89;
1316
11'h501: o <= 16'h9D7D;
1317
11'h502: o <= 16'h9D71;
1318
11'h503: o <= 16'h9D65;
1319
11'h504: o <= 16'h9D59;
1320
11'h505: o <= 16'h9D4D;
1321
11'h506: o <= 16'h9D41;
1322
11'h507: o <= 16'h9D35;
1323
11'h508: o <= 16'h9D29;
1324
11'h509: o <= 16'h9D1D;
1325
11'h50A: o <= 16'h9D11;
1326
11'h50B: o <= 16'h9D04;
1327
11'h50C: o <= 16'h9CF8;
1328
11'h50D: o <= 16'h9CEC;
1329
11'h50E: o <= 16'h9CE0;
1330
11'h50F: o <= 16'h9CD4;
1331
11'h510: o <= 16'h9CC8;
1332
11'h511: o <= 16'h9CBC;
1333
11'h512: o <= 16'h9CB0;
1334
11'h513: o <= 16'h9CA4;
1335
11'h514: o <= 16'h9C98;
1336
11'h515: o <= 16'h9C8C;
1337
11'h516: o <= 16'h9C80;
1338
11'h517: o <= 16'h9C75;
1339
11'h518: o <= 16'h9C69;
1340
11'h519: o <= 16'h9C5D;
1341
11'h51A: o <= 16'h9C51;
1342
11'h51B: o <= 16'h9C45;
1343
11'h51C: o <= 16'h9C39;
1344
11'h51D: o <= 16'h9C2D;
1345
11'h51E: o <= 16'h9C21;
1346
11'h51F: o <= 16'h9C15;
1347
11'h520: o <= 16'h9C09;
1348
11'h521: o <= 16'h9BFD;
1349
11'h522: o <= 16'h9BF1;
1350
11'h523: o <= 16'h9BE6;
1351
11'h524: o <= 16'h9BDA;
1352
11'h525: o <= 16'h9BCE;
1353
11'h526: o <= 16'h9BC2;
1354
11'h527: o <= 16'h9BB6;
1355
11'h528: o <= 16'h9BAA;
1356
11'h529: o <= 16'h9B9F;
1357
11'h52A: o <= 16'h9B93;
1358
11'h52B: o <= 16'h9B87;
1359
11'h52C: o <= 16'h9B7B;
1360
11'h52D: o <= 16'h9B6F;
1361
11'h52E: o <= 16'h9B64;
1362
11'h52F: o <= 16'h9B58;
1363
11'h530: o <= 16'h9B4C;
1364
11'h531: o <= 16'h9B40;
1365
11'h532: o <= 16'h9B34;
1366
11'h533: o <= 16'h9B29;
1367
11'h534: o <= 16'h9B1D;
1368
11'h535: o <= 16'h9B11;
1369
11'h536: o <= 16'h9B05;
1370
11'h537: o <= 16'h9AFA;
1371
11'h538: o <= 16'h9AEE;
1372
11'h539: o <= 16'h9AE2;
1373
11'h53A: o <= 16'h9AD7;
1374
11'h53B: o <= 16'h9ACB;
1375
11'h53C: o <= 16'h9ABF;
1376
11'h53D: o <= 16'h9AB3;
1377
11'h53E: o <= 16'h9AA8;
1378
11'h53F: o <= 16'h9A9C;
1379
11'h540: o <= 16'h9A90;
1380
11'h541: o <= 16'h9A85;
1381
11'h542: o <= 16'h9A79;
1382
11'h543: o <= 16'h9A6D;
1383
11'h544: o <= 16'h9A62;
1384
11'h545: o <= 16'h9A56;
1385
11'h546: o <= 16'h9A4B;
1386
11'h547: o <= 16'h9A3F;
1387
11'h548: o <= 16'h9A33;
1388
11'h549: o <= 16'h9A28;
1389
11'h54A: o <= 16'h9A1C;
1390
11'h54B: o <= 16'h9A11;
1391
11'h54C: o <= 16'h9A05;
1392
11'h54D: o <= 16'h99F9;
1393
11'h54E: o <= 16'h99EE;
1394
11'h54F: o <= 16'h99E2;
1395
11'h550: o <= 16'h99D7;
1396
11'h551: o <= 16'h99CB;
1397
11'h552: o <= 16'h99C0;
1398
11'h553: o <= 16'h99B4;
1399
11'h554: o <= 16'h99A8;
1400
11'h555: o <= 16'h999D;
1401
11'h556: o <= 16'h9991;
1402
11'h557: o <= 16'h9986;
1403
11'h558: o <= 16'h997A;
1404
11'h559: o <= 16'h996F;
1405
11'h55A: o <= 16'h9963;
1406
11'h55B: o <= 16'h9958;
1407
11'h55C: o <= 16'h994C;
1408
11'h55D: o <= 16'h9941;
1409
11'h55E: o <= 16'h9936;
1410
11'h55F: o <= 16'h992A;
1411
11'h560: o <= 16'h991F;
1412
11'h561: o <= 16'h9913;
1413
11'h562: o <= 16'h9908;
1414
11'h563: o <= 16'h98FC;
1415
11'h564: o <= 16'h98F1;
1416
11'h565: o <= 16'h98E5;
1417
11'h566: o <= 16'h98DA;
1418
11'h567: o <= 16'h98CF;
1419
11'h568: o <= 16'h98C3;
1420
11'h569: o <= 16'h98B8;
1421
11'h56A: o <= 16'h98AC;
1422
11'h56B: o <= 16'h98A1;
1423
11'h56C: o <= 16'h9896;
1424
11'h56D: o <= 16'h988A;
1425
11'h56E: o <= 16'h987F;
1426
11'h56F: o <= 16'h9874;
1427
11'h570: o <= 16'h9868;
1428
11'h571: o <= 16'h985D;
1429
11'h572: o <= 16'h9852;
1430
11'h573: o <= 16'h9846;
1431
11'h574: o <= 16'h983B;
1432
11'h575: o <= 16'h9830;
1433
11'h576: o <= 16'h9824;
1434
11'h577: o <= 16'h9819;
1435
11'h578: o <= 16'h980E;
1436
11'h579: o <= 16'h9802;
1437
11'h57A: o <= 16'h97F7;
1438
11'h57B: o <= 16'h97EC;
1439
11'h57C: o <= 16'h97E1;
1440
11'h57D: o <= 16'h97D5;
1441
11'h57E: o <= 16'h97CA;
1442
11'h57F: o <= 16'h97BF;
1443
11'h580: o <= 16'h97B4;
1444
11'h581: o <= 16'h97A8;
1445
11'h582: o <= 16'h979D;
1446
11'h583: o <= 16'h9792;
1447
11'h584: o <= 16'h9787;
1448
11'h585: o <= 16'h977C;
1449
11'h586: o <= 16'h9770;
1450
11'h587: o <= 16'h9765;
1451
11'h588: o <= 16'h975A;
1452
11'h589: o <= 16'h974F;
1453
11'h58A: o <= 16'h9744;
1454
11'h58B: o <= 16'h9738;
1455
11'h58C: o <= 16'h972D;
1456
11'h58D: o <= 16'h9722;
1457
11'h58E: o <= 16'h9717;
1458
11'h58F: o <= 16'h970C;
1459
11'h590: o <= 16'h9701;
1460
11'h591: o <= 16'h96F6;
1461
11'h592: o <= 16'h96EA;
1462
11'h593: o <= 16'h96DF;
1463
11'h594: o <= 16'h96D4;
1464
11'h595: o <= 16'h96C9;
1465
11'h596: o <= 16'h96BE;
1466
11'h597: o <= 16'h96B3;
1467
11'h598: o <= 16'h96A8;
1468
11'h599: o <= 16'h969D;
1469
11'h59A: o <= 16'h9692;
1470
11'h59B: o <= 16'h9687;
1471
11'h59C: o <= 16'h967C;
1472
11'h59D: o <= 16'h9670;
1473
11'h59E: o <= 16'h9665;
1474
11'h59F: o <= 16'h965A;
1475
11'h5A0: o <= 16'h964F;
1476
11'h5A1: o <= 16'h9644;
1477
11'h5A2: o <= 16'h9639;
1478
11'h5A3: o <= 16'h962E;
1479
11'h5A4: o <= 16'h9623;
1480
11'h5A5: o <= 16'h9618;
1481
11'h5A6: o <= 16'h960D;
1482
11'h5A7: o <= 16'h9602;
1483
11'h5A8: o <= 16'h95F7;
1484
11'h5A9: o <= 16'h95EC;
1485
11'h5AA: o <= 16'h95E1;
1486
11'h5AB: o <= 16'h95D6;
1487
11'h5AC: o <= 16'h95CB;
1488
11'h5AD: o <= 16'h95C0;
1489
11'h5AE: o <= 16'h95B6;
1490
11'h5AF: o <= 16'h95AB;
1491
11'h5B0: o <= 16'h95A0;
1492
11'h5B1: o <= 16'h9595;
1493
11'h5B2: o <= 16'h958A;
1494
11'h5B3: o <= 16'h957F;
1495
11'h5B4: o <= 16'h9574;
1496
11'h5B5: o <= 16'h9569;
1497
11'h5B6: o <= 16'h955E;
1498
11'h5B7: o <= 16'h9553;
1499
11'h5B8: o <= 16'h9548;
1500
11'h5B9: o <= 16'h953E;
1501
11'h5BA: o <= 16'h9533;
1502
11'h5BB: o <= 16'h9528;
1503
11'h5BC: o <= 16'h951D;
1504
11'h5BD: o <= 16'h9512;
1505
11'h5BE: o <= 16'h9507;
1506
11'h5BF: o <= 16'h94FC;
1507
11'h5C0: o <= 16'h94F2;
1508
11'h5C1: o <= 16'h94E7;
1509
11'h5C2: o <= 16'h94DC;
1510
11'h5C3: o <= 16'h94D1;
1511
11'h5C4: o <= 16'h94C6;
1512
11'h5C5: o <= 16'h94BB;
1513
11'h5C6: o <= 16'h94B1;
1514
11'h5C7: o <= 16'h94A6;
1515
11'h5C8: o <= 16'h949B;
1516
11'h5C9: o <= 16'h9490;
1517
11'h5CA: o <= 16'h9486;
1518
11'h5CB: o <= 16'h947B;
1519
11'h5CC: o <= 16'h9470;
1520
11'h5CD: o <= 16'h9465;
1521
11'h5CE: o <= 16'h945A;
1522
11'h5CF: o <= 16'h9450;
1523
11'h5D0: o <= 16'h9445;
1524
11'h5D1: o <= 16'h943A;
1525
11'h5D2: o <= 16'h9430;
1526
11'h5D3: o <= 16'h9425;
1527
11'h5D4: o <= 16'h941A;
1528
11'h5D5: o <= 16'h940F;
1529
11'h5D6: o <= 16'h9405;
1530
11'h5D7: o <= 16'h93FA;
1531
11'h5D8: o <= 16'h93EF;
1532
11'h5D9: o <= 16'h93E5;
1533
11'h5DA: o <= 16'h93DA;
1534
11'h5DB: o <= 16'h93CF;
1535
11'h5DC: o <= 16'h93C5;
1536
11'h5DD: o <= 16'h93BA;
1537
11'h5DE: o <= 16'h93AF;
1538
11'h5DF: o <= 16'h93A5;
1539
11'h5E0: o <= 16'h939A;
1540
11'h5E1: o <= 16'h938F;
1541
11'h5E2: o <= 16'h9385;
1542
11'h5E3: o <= 16'h937A;
1543
11'h5E4: o <= 16'h9370;
1544
11'h5E5: o <= 16'h9365;
1545
11'h5E6: o <= 16'h935A;
1546
11'h5E7: o <= 16'h9350;
1547
11'h5E8: o <= 16'h9345;
1548
11'h5E9: o <= 16'h933B;
1549
11'h5EA: o <= 16'h9330;
1550
11'h5EB: o <= 16'h9325;
1551
11'h5EC: o <= 16'h931B;
1552
11'h5ED: o <= 16'h9310;
1553
11'h5EE: o <= 16'h9306;
1554
11'h5EF: o <= 16'h92FB;
1555
11'h5F0: o <= 16'h92F1;
1556
11'h5F1: o <= 16'h92E6;
1557
11'h5F2: o <= 16'h92DC;
1558
11'h5F3: o <= 16'h92D1;
1559
11'h5F4: o <= 16'h92C6;
1560
11'h5F5: o <= 16'h92BC;
1561
11'h5F6: o <= 16'h92B1;
1562
11'h5F7: o <= 16'h92A7;
1563
11'h5F8: o <= 16'h929C;
1564
11'h5F9: o <= 16'h9292;
1565
11'h5FA: o <= 16'h9287;
1566
11'h5FB: o <= 16'h927D;
1567
11'h5FC: o <= 16'h9272;
1568
11'h5FD: o <= 16'h9268;
1569
11'h5FE: o <= 16'h925E;
1570
11'h5FF: o <= 16'h9253;
1571
11'h600: o <= 16'h9249;
1572
11'h601: o <= 16'h923E;
1573
11'h602: o <= 16'h9234;
1574
11'h603: o <= 16'h9229;
1575
11'h604: o <= 16'h921F;
1576
11'h605: o <= 16'h9214;
1577
11'h606: o <= 16'h920A;
1578
11'h607: o <= 16'h9200;
1579
11'h608: o <= 16'h91F5;
1580
11'h609: o <= 16'h91EB;
1581
11'h60A: o <= 16'h91E0;
1582
11'h60B: o <= 16'h91D6;
1583
11'h60C: o <= 16'h91CC;
1584
11'h60D: o <= 16'h91C1;
1585
11'h60E: o <= 16'h91B7;
1586
11'h60F: o <= 16'h91AD;
1587
11'h610: o <= 16'h91A2;
1588
11'h611: o <= 16'h9198;
1589
11'h612: o <= 16'h918E;
1590
11'h613: o <= 16'h9183;
1591
11'h614: o <= 16'h9179;
1592
11'h615: o <= 16'h916E;
1593
11'h616: o <= 16'h9164;
1594
11'h617: o <= 16'h915A;
1595
11'h618: o <= 16'h9150;
1596
11'h619: o <= 16'h9145;
1597
11'h61A: o <= 16'h913B;
1598
11'h61B: o <= 16'h9131;
1599
11'h61C: o <= 16'h9126;
1600
11'h61D: o <= 16'h911C;
1601
11'h61E: o <= 16'h9112;
1602
11'h61F: o <= 16'h9108;
1603
11'h620: o <= 16'h90FD;
1604
11'h621: o <= 16'h90F3;
1605
11'h622: o <= 16'h90E9;
1606
11'h623: o <= 16'h90DE;
1607
11'h624: o <= 16'h90D4;
1608
11'h625: o <= 16'h90CA;
1609
11'h626: o <= 16'h90C0;
1610
11'h627: o <= 16'h90B6;
1611
11'h628: o <= 16'h90AB;
1612
11'h629: o <= 16'h90A1;
1613
11'h62A: o <= 16'h9097;
1614
11'h62B: o <= 16'h908D;
1615
11'h62C: o <= 16'h9082;
1616
11'h62D: o <= 16'h9078;
1617
11'h62E: o <= 16'h906E;
1618
11'h62F: o <= 16'h9064;
1619
11'h630: o <= 16'h905A;
1620
11'h631: o <= 16'h9050;
1621
11'h632: o <= 16'h9045;
1622
11'h633: o <= 16'h903B;
1623
11'h634: o <= 16'h9031;
1624
11'h635: o <= 16'h9027;
1625
11'h636: o <= 16'h901D;
1626
11'h637: o <= 16'h9013;
1627
11'h638: o <= 16'h9009;
1628
11'h639: o <= 16'h8FFE;
1629
11'h63A: o <= 16'h8FF4;
1630
11'h63B: o <= 16'h8FEA;
1631
11'h63C: o <= 16'h8FE0;
1632
11'h63D: o <= 16'h8FD6;
1633
11'h63E: o <= 16'h8FCC;
1634
11'h63F: o <= 16'h8FC2;
1635
11'h640: o <= 16'h8FB8;
1636
11'h641: o <= 16'h8FAE;
1637
11'h642: o <= 16'h8FA3;
1638
11'h643: o <= 16'h8F99;
1639
11'h644: o <= 16'h8F8F;
1640
11'h645: o <= 16'h8F85;
1641
11'h646: o <= 16'h8F7B;
1642
11'h647: o <= 16'h8F71;
1643
11'h648: o <= 16'h8F67;
1644
11'h649: o <= 16'h8F5D;
1645
11'h64A: o <= 16'h8F53;
1646
11'h64B: o <= 16'h8F49;
1647
11'h64C: o <= 16'h8F3F;
1648
11'h64D: o <= 16'h8F35;
1649
11'h64E: o <= 16'h8F2B;
1650
11'h64F: o <= 16'h8F21;
1651
11'h650: o <= 16'h8F17;
1652
11'h651: o <= 16'h8F0D;
1653
11'h652: o <= 16'h8F03;
1654
11'h653: o <= 16'h8EF9;
1655
11'h654: o <= 16'h8EEF;
1656
11'h655: o <= 16'h8EE5;
1657
11'h656: o <= 16'h8EDB;
1658
11'h657: o <= 16'h8ED1;
1659
11'h658: o <= 16'h8EC7;
1660
11'h659: o <= 16'h8EBD;
1661
11'h65A: o <= 16'h8EB3;
1662
11'h65B: o <= 16'h8EA9;
1663
11'h65C: o <= 16'h8E9F;
1664
11'h65D: o <= 16'h8E95;
1665
11'h65E: o <= 16'h8E8C;
1666
11'h65F: o <= 16'h8E82;
1667
11'h660: o <= 16'h8E78;
1668
11'h661: o <= 16'h8E6E;
1669
11'h662: o <= 16'h8E64;
1670
11'h663: o <= 16'h8E5A;
1671
11'h664: o <= 16'h8E50;
1672
11'h665: o <= 16'h8E46;
1673
11'h666: o <= 16'h8E3C;
1674
11'h667: o <= 16'h8E32;
1675
11'h668: o <= 16'h8E29;
1676
11'h669: o <= 16'h8E1F;
1677
11'h66A: o <= 16'h8E15;
1678
11'h66B: o <= 16'h8E0B;
1679
11'h66C: o <= 16'h8E01;
1680
11'h66D: o <= 16'h8DF7;
1681
11'h66E: o <= 16'h8DED;
1682
11'h66F: o <= 16'h8DE4;
1683
11'h670: o <= 16'h8DDA;
1684
11'h671: o <= 16'h8DD0;
1685
11'h672: o <= 16'h8DC6;
1686
11'h673: o <= 16'h8DBC;
1687
11'h674: o <= 16'h8DB3;
1688
11'h675: o <= 16'h8DA9;
1689
11'h676: o <= 16'h8D9F;
1690
11'h677: o <= 16'h8D95;
1691
11'h678: o <= 16'h8D8B;
1692
11'h679: o <= 16'h8D82;
1693
11'h67A: o <= 16'h8D78;
1694
11'h67B: o <= 16'h8D6E;
1695
11'h67C: o <= 16'h8D64;
1696
11'h67D: o <= 16'h8D5B;
1697
11'h67E: o <= 16'h8D51;
1698
11'h67F: o <= 16'h8D47;
1699
11'h680: o <= 16'h8D3D;
1700
11'h681: o <= 16'h8D34;
1701
11'h682: o <= 16'h8D2A;
1702
11'h683: o <= 16'h8D20;
1703
11'h684: o <= 16'h8D16;
1704
11'h685: o <= 16'h8D0D;
1705
11'h686: o <= 16'h8D03;
1706
11'h687: o <= 16'h8CF9;
1707
11'h688: o <= 16'h8CF0;
1708
11'h689: o <= 16'h8CE6;
1709
11'h68A: o <= 16'h8CDC;
1710
11'h68B: o <= 16'h8CD2;
1711
11'h68C: o <= 16'h8CC9;
1712
11'h68D: o <= 16'h8CBF;
1713
11'h68E: o <= 16'h8CB5;
1714
11'h68F: o <= 16'h8CAC;
1715
11'h690: o <= 16'h8CA2;
1716
11'h691: o <= 16'h8C98;
1717
11'h692: o <= 16'h8C8F;
1718
11'h693: o <= 16'h8C85;
1719
11'h694: o <= 16'h8C7C;
1720
11'h695: o <= 16'h8C72;
1721
11'h696: o <= 16'h8C68;
1722
11'h697: o <= 16'h8C5F;
1723
11'h698: o <= 16'h8C55;
1724
11'h699: o <= 16'h8C4B;
1725
11'h69A: o <= 16'h8C42;
1726
11'h69B: o <= 16'h8C38;
1727
11'h69C: o <= 16'h8C2F;
1728
11'h69D: o <= 16'h8C25;
1729
11'h69E: o <= 16'h8C1B;
1730
11'h69F: o <= 16'h8C12;
1731
11'h6A0: o <= 16'h8C08;
1732
11'h6A1: o <= 16'h8BFF;
1733
11'h6A2: o <= 16'h8BF5;
1734
11'h6A3: o <= 16'h8BEC;
1735
11'h6A4: o <= 16'h8BE2;
1736
11'h6A5: o <= 16'h8BD8;
1737
11'h6A6: o <= 16'h8BCF;
1738
11'h6A7: o <= 16'h8BC5;
1739
11'h6A8: o <= 16'h8BBC;
1740
11'h6A9: o <= 16'h8BB2;
1741
11'h6AA: o <= 16'h8BA9;
1742
11'h6AB: o <= 16'h8B9F;
1743
11'h6AC: o <= 16'h8B96;
1744
11'h6AD: o <= 16'h8B8C;
1745
11'h6AE: o <= 16'h8B83;
1746
11'h6AF: o <= 16'h8B79;
1747
11'h6B0: o <= 16'h8B70;
1748
11'h6B1: o <= 16'h8B66;
1749
11'h6B2: o <= 16'h8B5D;
1750
11'h6B3: o <= 16'h8B53;
1751
11'h6B4: o <= 16'h8B4A;
1752
11'h6B5: o <= 16'h8B40;
1753
11'h6B6: o <= 16'h8B37;
1754
11'h6B7: o <= 16'h8B2D;
1755
11'h6B8: o <= 16'h8B24;
1756
11'h6B9: o <= 16'h8B1A;
1757
11'h6BA: o <= 16'h8B11;
1758
11'h6BB: o <= 16'h8B08;
1759
11'h6BC: o <= 16'h8AFE;
1760
11'h6BD: o <= 16'h8AF5;
1761
11'h6BE: o <= 16'h8AEB;
1762
11'h6BF: o <= 16'h8AE2;
1763
11'h6C0: o <= 16'h8AD8;
1764
11'h6C1: o <= 16'h8ACF;
1765
11'h6C2: o <= 16'h8AC6;
1766
11'h6C3: o <= 16'h8ABC;
1767
11'h6C4: o <= 16'h8AB3;
1768
11'h6C5: o <= 16'h8AA9;
1769
11'h6C6: o <= 16'h8AA0;
1770
11'h6C7: o <= 16'h8A97;
1771
11'h6C8: o <= 16'h8A8D;
1772
11'h6C9: o <= 16'h8A84;
1773
11'h6CA: o <= 16'h8A7B;
1774
11'h6CB: o <= 16'h8A71;
1775
11'h6CC: o <= 16'h8A68;
1776
11'h6CD: o <= 16'h8A5E;
1777
11'h6CE: o <= 16'h8A55;
1778
11'h6CF: o <= 16'h8A4C;
1779
11'h6D0: o <= 16'h8A42;
1780
11'h6D1: o <= 16'h8A39;
1781
11'h6D2: o <= 16'h8A30;
1782
11'h6D3: o <= 16'h8A26;
1783
11'h6D4: o <= 16'h8A1D;
1784
11'h6D5: o <= 16'h8A14;
1785
11'h6D6: o <= 16'h8A0B;
1786
11'h6D7: o <= 16'h8A01;
1787
11'h6D8: o <= 16'h89F8;
1788
11'h6D9: o <= 16'h89EF;
1789
11'h6DA: o <= 16'h89E5;
1790
11'h6DB: o <= 16'h89DC;
1791
11'h6DC: o <= 16'h89D3;
1792
11'h6DD: o <= 16'h89CA;
1793
11'h6DE: o <= 16'h89C0;
1794
11'h6DF: o <= 16'h89B7;
1795
11'h6E0: o <= 16'h89AE;
1796
11'h6E1: o <= 16'h89A4;
1797
11'h6E2: o <= 16'h899B;
1798
11'h6E3: o <= 16'h8992;
1799
11'h6E4: o <= 16'h8989;
1800
11'h6E5: o <= 16'h8980;
1801
11'h6E6: o <= 16'h8976;
1802
11'h6E7: o <= 16'h896D;
1803
11'h6E8: o <= 16'h8964;
1804
11'h6E9: o <= 16'h895B;
1805
11'h6EA: o <= 16'h8951;
1806
11'h6EB: o <= 16'h8948;
1807
11'h6EC: o <= 16'h893F;
1808
11'h6ED: o <= 16'h8936;
1809
11'h6EE: o <= 16'h892D;
1810
11'h6EF: o <= 16'h8923;
1811
11'h6F0: o <= 16'h891A;
1812
11'h6F1: o <= 16'h8911;
1813
11'h6F2: o <= 16'h8908;
1814
11'h6F3: o <= 16'h88FF;
1815
11'h6F4: o <= 16'h88F6;
1816
11'h6F5: o <= 16'h88EC;
1817
11'h6F6: o <= 16'h88E3;
1818
11'h6F7: o <= 16'h88DA;
1819
11'h6F8: o <= 16'h88D1;
1820
11'h6F9: o <= 16'h88C8;
1821
11'h6FA: o <= 16'h88BF;
1822
11'h6FB: o <= 16'h88B6;
1823
11'h6FC: o <= 16'h88AC;
1824
11'h6FD: o <= 16'h88A3;
1825
11'h6FE: o <= 16'h889A;
1826
11'h6FF: o <= 16'h8891;
1827
11'h700: o <= 16'h8888;
1828
11'h701: o <= 16'h887F;
1829
11'h702: o <= 16'h8876;
1830
11'h703: o <= 16'h886D;
1831
11'h704: o <= 16'h8864;
1832
11'h705: o <= 16'h885B;
1833
11'h706: o <= 16'h8852;
1834
11'h707: o <= 16'h8848;
1835
11'h708: o <= 16'h883F;
1836
11'h709: o <= 16'h8836;
1837
11'h70A: o <= 16'h882D;
1838
11'h70B: o <= 16'h8824;
1839
11'h70C: o <= 16'h881B;
1840
11'h70D: o <= 16'h8812;
1841
11'h70E: o <= 16'h8809;
1842
11'h70F: o <= 16'h8800;
1843
11'h710: o <= 16'h87F7;
1844
11'h711: o <= 16'h87EE;
1845
11'h712: o <= 16'h87E5;
1846
11'h713: o <= 16'h87DC;
1847
11'h714: o <= 16'h87D3;
1848
11'h715: o <= 16'h87CA;
1849
11'h716: o <= 16'h87C1;
1850
11'h717: o <= 16'h87B8;
1851
11'h718: o <= 16'h87AF;
1852
11'h719: o <= 16'h87A6;
1853
11'h71A: o <= 16'h879D;
1854
11'h71B: o <= 16'h8794;
1855
11'h71C: o <= 16'h878B;
1856
11'h71D: o <= 16'h8782;
1857
11'h71E: o <= 16'h8779;
1858
11'h71F: o <= 16'h8770;
1859
11'h720: o <= 16'h8767;
1860
11'h721: o <= 16'h875E;
1861
11'h722: o <= 16'h8755;
1862
11'h723: o <= 16'h874C;
1863
11'h724: o <= 16'h8743;
1864
11'h725: o <= 16'h873A;
1865
11'h726: o <= 16'h8732;
1866
11'h727: o <= 16'h8729;
1867
11'h728: o <= 16'h8720;
1868
11'h729: o <= 16'h8717;
1869
11'h72A: o <= 16'h870E;
1870
11'h72B: o <= 16'h8705;
1871
11'h72C: o <= 16'h86FC;
1872
11'h72D: o <= 16'h86F3;
1873
11'h72E: o <= 16'h86EA;
1874
11'h72F: o <= 16'h86E1;
1875
11'h730: o <= 16'h86D9;
1876
11'h731: o <= 16'h86D0;
1877
11'h732: o <= 16'h86C7;
1878
11'h733: o <= 16'h86BE;
1879
11'h734: o <= 16'h86B5;
1880
11'h735: o <= 16'h86AC;
1881
11'h736: o <= 16'h86A3;
1882
11'h737: o <= 16'h869A;
1883
11'h738: o <= 16'h8692;
1884
11'h739: o <= 16'h8689;
1885
11'h73A: o <= 16'h8680;
1886
11'h73B: o <= 16'h8677;
1887
11'h73C: o <= 16'h866E;
1888
11'h73D: o <= 16'h8665;
1889
11'h73E: o <= 16'h865D;
1890
11'h73F: o <= 16'h8654;
1891
11'h740: o <= 16'h864B;
1892
11'h741: o <= 16'h8642;
1893
11'h742: o <= 16'h8639;
1894
11'h743: o <= 16'h8631;
1895
11'h744: o <= 16'h8628;
1896
11'h745: o <= 16'h861F;
1897
11'h746: o <= 16'h8616;
1898
11'h747: o <= 16'h860E;
1899
11'h748: o <= 16'h8605;
1900
11'h749: o <= 16'h85FC;
1901
11'h74A: o <= 16'h85F3;
1902
11'h74B: o <= 16'h85EA;
1903
11'h74C: o <= 16'h85E2;
1904
11'h74D: o <= 16'h85D9;
1905
11'h74E: o <= 16'h85D0;
1906
11'h74F: o <= 16'h85C7;
1907
11'h750: o <= 16'h85BF;
1908
11'h751: o <= 16'h85B6;
1909
11'h752: o <= 16'h85AD;
1910
11'h753: o <= 16'h85A5;
1911
11'h754: o <= 16'h859C;
1912
11'h755: o <= 16'h8593;
1913
11'h756: o <= 16'h858A;
1914
11'h757: o <= 16'h8582;
1915
11'h758: o <= 16'h8579;
1916
11'h759: o <= 16'h8570;
1917
11'h75A: o <= 16'h8568;
1918
11'h75B: o <= 16'h855F;
1919
11'h75C: o <= 16'h8556;
1920
11'h75D: o <= 16'h854E;
1921
11'h75E: o <= 16'h8545;
1922
11'h75F: o <= 16'h853C;
1923
11'h760: o <= 16'h8534;
1924
11'h761: o <= 16'h852B;
1925
11'h762: o <= 16'h8522;
1926
11'h763: o <= 16'h851A;
1927
11'h764: o <= 16'h8511;
1928
11'h765: o <= 16'h8508;
1929
11'h766: o <= 16'h8500;
1930
11'h767: o <= 16'h84F7;
1931
11'h768: o <= 16'h84EE;
1932
11'h769: o <= 16'h84E6;
1933
11'h76A: o <= 16'h84DD;
1934
11'h76B: o <= 16'h84D4;
1935
11'h76C: o <= 16'h84CC;
1936
11'h76D: o <= 16'h84C3;
1937
11'h76E: o <= 16'h84BB;
1938
11'h76F: o <= 16'h84B2;
1939
11'h770: o <= 16'h84A9;
1940
11'h771: o <= 16'h84A1;
1941
11'h772: o <= 16'h8498;
1942
11'h773: o <= 16'h8490;
1943
11'h774: o <= 16'h8487;
1944
11'h775: o <= 16'h847F;
1945
11'h776: o <= 16'h8476;
1946
11'h777: o <= 16'h846D;
1947
11'h778: o <= 16'h8465;
1948
11'h779: o <= 16'h845C;
1949
11'h77A: o <= 16'h8454;
1950
11'h77B: o <= 16'h844B;
1951
11'h77C: o <= 16'h8443;
1952
11'h77D: o <= 16'h843A;
1953
11'h77E: o <= 16'h8432;
1954
11'h77F: o <= 16'h8429;
1955
11'h780: o <= 16'h8421;
1956
11'h781: o <= 16'h8418;
1957
11'h782: o <= 16'h840F;
1958
11'h783: o <= 16'h8407;
1959
11'h784: o <= 16'h83FE;
1960
11'h785: o <= 16'h83F6;
1961
11'h786: o <= 16'h83ED;
1962
11'h787: o <= 16'h83E5;
1963
11'h788: o <= 16'h83DC;
1964
11'h789: o <= 16'h83D4;
1965
11'h78A: o <= 16'h83CC;
1966
11'h78B: o <= 16'h83C3;
1967
11'h78C: o <= 16'h83BB;
1968
11'h78D: o <= 16'h83B2;
1969
11'h78E: o <= 16'h83AA;
1970
11'h78F: o <= 16'h83A1;
1971
11'h790: o <= 16'h8399;
1972
11'h791: o <= 16'h8390;
1973
11'h792: o <= 16'h8388;
1974
11'h793: o <= 16'h837F;
1975
11'h794: o <= 16'h8377;
1976
11'h795: o <= 16'h836E;
1977
11'h796: o <= 16'h8366;
1978
11'h797: o <= 16'h835E;
1979
11'h798: o <= 16'h8355;
1980
11'h799: o <= 16'h834D;
1981
11'h79A: o <= 16'h8344;
1982
11'h79B: o <= 16'h833C;
1983
11'h79C: o <= 16'h8334;
1984
11'h79D: o <= 16'h832B;
1985
11'h79E: o <= 16'h8323;
1986
11'h79F: o <= 16'h831A;
1987
11'h7A0: o <= 16'h8312;
1988
11'h7A1: o <= 16'h830A;
1989
11'h7A2: o <= 16'h8301;
1990
11'h7A3: o <= 16'h82F9;
1991
11'h7A4: o <= 16'h82F0;
1992
11'h7A5: o <= 16'h82E8;
1993
11'h7A6: o <= 16'h82E0;
1994
11'h7A7: o <= 16'h82D7;
1995
11'h7A8: o <= 16'h82CF;
1996
11'h7A9: o <= 16'h82C7;
1997
11'h7AA: o <= 16'h82BE;
1998
11'h7AB: o <= 16'h82B6;
1999
11'h7AC: o <= 16'h82AE;
2000
11'h7AD: o <= 16'h82A5;
2001
11'h7AE: o <= 16'h829D;
2002
11'h7AF: o <= 16'h8295;
2003
11'h7B0: o <= 16'h828C;
2004
11'h7B1: o <= 16'h8284;
2005
11'h7B2: o <= 16'h827C;
2006
11'h7B3: o <= 16'h8273;
2007
11'h7B4: o <= 16'h826B;
2008
11'h7B5: o <= 16'h8263;
2009
11'h7B6: o <= 16'h825A;
2010
11'h7B7: o <= 16'h8252;
2011
11'h7B8: o <= 16'h824A;
2012
11'h7B9: o <= 16'h8242;
2013
11'h7BA: o <= 16'h8239;
2014
11'h7BB: o <= 16'h8231;
2015
11'h7BC: o <= 16'h8229;
2016
11'h7BD: o <= 16'h8220;
2017
11'h7BE: o <= 16'h8218;
2018
11'h7BF: o <= 16'h8210;
2019
11'h7C0: o <= 16'h8208;
2020
11'h7C1: o <= 16'h81FF;
2021
11'h7C2: o <= 16'h81F7;
2022
11'h7C3: o <= 16'h81EF;
2023
11'h7C4: o <= 16'h81E7;
2024
11'h7C5: o <= 16'h81DE;
2025
11'h7C6: o <= 16'h81D6;
2026
11'h7C7: o <= 16'h81CE;
2027
11'h7C8: o <= 16'h81C6;
2028
11'h7C9: o <= 16'h81BD;
2029
11'h7CA: o <= 16'h81B5;
2030
11'h7CB: o <= 16'h81AD;
2031
11'h7CC: o <= 16'h81A5;
2032
11'h7CD: o <= 16'h819D;
2033
11'h7CE: o <= 16'h8194;
2034
11'h7CF: o <= 16'h818C;
2035
11'h7D0: o <= 16'h8184;
2036
11'h7D1: o <= 16'h817C;
2037
11'h7D2: o <= 16'h8174;
2038
11'h7D3: o <= 16'h816B;
2039
11'h7D4: o <= 16'h8163;
2040
11'h7D5: o <= 16'h815B;
2041
11'h7D6: o <= 16'h8153;
2042
11'h7D7: o <= 16'h814B;
2043
11'h7D8: o <= 16'h8143;
2044
11'h7D9: o <= 16'h813A;
2045
11'h7DA: o <= 16'h8132;
2046
11'h7DB: o <= 16'h812A;
2047
11'h7DC: o <= 16'h8122;
2048
11'h7DD: o <= 16'h811A;
2049
11'h7DE: o <= 16'h8112;
2050
11'h7DF: o <= 16'h810A;
2051
11'h7E0: o <= 16'h8102;
2052
11'h7E1: o <= 16'h80F9;
2053
11'h7E2: o <= 16'h80F1;
2054
11'h7E3: o <= 16'h80E9;
2055
11'h7E4: o <= 16'h80E1;
2056
11'h7E5: o <= 16'h80D9;
2057
11'h7E6: o <= 16'h80D1;
2058
11'h7E7: o <= 16'h80C9;
2059
11'h7E8: o <= 16'h80C1;
2060
11'h7E9: o <= 16'h80B9;
2061
11'h7EA: o <= 16'h80B0;
2062
11'h7EB: o <= 16'h80A8;
2063
11'h7EC: o <= 16'h80A0;
2064
11'h7ED: o <= 16'h8098;
2065
11'h7EE: o <= 16'h8090;
2066
11'h7EF: o <= 16'h8088;
2067
11'h7F0: o <= 16'h8080;
2068
11'h7F1: o <= 16'h8078;
2069
11'h7F2: o <= 16'h8070;
2070
11'h7F3: o <= 16'h8068;
2071
11'h7F4: o <= 16'h8060;
2072
11'h7F5: o <= 16'h8058;
2073
11'h7F6: o <= 16'h8050;
2074
11'h7F7: o <= 16'h8048;
2075
11'h7F8: o <= 16'h8040;
2076
11'h7F9: o <= 16'h8038;
2077
11'h7FA: o <= 16'h8030;
2078
11'h7FB: o <= 16'h8028;
2079
11'h7FC: o <= 16'h8020;
2080
11'h7FD: o <= 16'h8018;
2081
11'h7FE: o <= 16'h8010;
2082
11'h7FF: o <= 16'h8008;
2083
endcase
2084
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.