OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [positVerilog/] [positSqrt.sv] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 39 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2020  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8 48 robfinch
//      positSqrt.sv
9 39 robfinch
//    - posit number square root function
10
//    - parameterized width
11
//
12
//
13
// This source file is free software: you can redistribute it and/or modify
14
// it under the terms of the GNU Lesser General Public License as published
15
// by the Free Software Foundation, either version 3 of the License, or
16
// (at your option) any later version.
17
//
18
// This source file is distributed in the hope that it will be useful,
19
// but WITHOUT ANY WARRANTY; without even the implied warranty of
20
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
21
// GNU General Public License for more details.
22
//
23
// You should have received a copy of the GNU General Public License
24
// along with this program.  If not, see .
25
//
26
// ============================================================================
27
 
28 48 robfinch
import posit::*;
29 39 robfinch
 
30
module positSqrt(clk, ce, i, o, start, done, zero, inf);
31
localparam rs = $clog2(PSTWID-1)-1;
32
input clk;
33
input ce;
34
input [PSTWID-1:0] i;
35
output reg [PSTWID-1:0] o;
36
input start;
37
output done;
38
output zero;
39
output inf;
40
 
41
wire si, so;
42
wire [rs:0] rgmi;
43
wire rgsi;
44
wire [es-1:0] expi;
45
wire [PSTWID-es-1:0] sigi;
46
wire zeri;
47
wire infi;
48
wire inf = infi;
49
wire zero = zeri;
50
 
51 48 robfinch
positDecompose #(PSTWID) u1 (
52 39 robfinch
  .i(i),
53
  .sgn(si),
54
  .rgs(rgsi),
55
  .rgm(rgmi),
56
  .exp(expi),
57
  .sig(sigi),
58
  .zer(zeri),
59
  .inf(infi)
60
);
61
 
62
assign so = si;                         // square root of positive numbers only
63 41 robfinch
// Compute length of significand. This length is needed to align the
64
// significand input to the square root module.
65
//wire [rs+1:0] rgml1 = rgsi ? rgmi + 2'd2 : rgmi + 2'd1;
66
//wire [rs+1:0] sigl = PSTWID-rgml1-es-1;
67
// The length could be zero or less
68
//wire [rs:0] sigl1 = sigl[rs+1] ? {rs{1'b0}} : sigl;
69
 
70
// Compute exponent
71 39 robfinch
wire [rs+1:0] rgm1 = rgsi ? rgmi : -rgmi;
72
wire [rs+es+1:0] rx1 = {rgm1,expi};
73
// If exponent is odd, make it even. May need to shift the significand later.
74
wire [rs+es+1:0] rxtmp = {{2{rx1[rs+es+1]}},rx1} >> 1;   // right shift takes square root of exponent
75
 
76
assign sqrinf = infi;
77
assign sqrneg = so;
78
// If the exponent was made even, shift the significand left.
79 41 robfinch
wire [PSTWID-1:0] sig1 = (rx1[0] ^ ~es[0]) ? {sigi,1'b0} : {1'b0,sigi};
80 39 robfinch
 
81
wire ldd;
82
delay1 #(1) u3 (.clk(clk), .ce(ce), .i(start), .o(ldd));
83
wire [PSTWID*3-1:0] sqrto;
84
 
85
wire [rs:0] lzcnt;
86
 
87
// iqsrt2 left aligns the number
88
isqrt2 #(PSTWID*3/2) u2
89
(
90
        .rst(rst),
91
        .clk(clk),
92
        .ce(ce),
93
        .ld(ldd),
94 41 robfinch
        // Align the input according to odd/even length
95
        .a({sig1,{PSTWID/2{1'b0}}}),
96 39 robfinch
        .o(sqrto),
97
        .done(done),
98
        .lzcnt(lzcnt)
99
);
100
 
101
// There should not be very many leading zeros in the number as the number is
102
// always between 1 and 2, so the square root is between 1.0 and 1.414....
103
// May want to change the leading zero detect to be a little more efficient.
104
//positCntlz #(.PSTWID(PSTWID)) u4 (.i(sqrto[PSTWID-1:0]), .o(lzcnt));
105
wire [PSTWID*2-1:0] sqrt1 = sqrto[PSTWID*3-1:PSTWID];// << (lzcnt + PSTWID/2);
106
 
107
// Make a negative rx positive
108
wire [rs+es+1:0] rxtmp2c = rxtmp[rs+es+1] ? ~rxtmp + 2'd1 : rxtmp;
109
// Break out the exponent and regime portions
110
wire [es-1:0] exp = rxtmp[es-1:0];
111
// Take absolute value of regime portion
112
wire srxtmp = rxtmp[rs+es+1];
113
wire [rs:0] rgm = srxtmp ? -rxtmp[rs+es+1:es] : rxtmp[rs+es+1:es];
114
// Compute the length of the regime bit string, +1 for positive regime
115
wire [rs:0] rgml = srxtmp ? rxtmp2c[rs+es:es] + 2'd1: rxtmp2c[rs+es:es] + 2'd2;
116
// Build expanded posit number:
117
// trim one leading bit off the product bits
118
// and keep guard, round bits, and create sticky bit
119
wire [PSTWID*3-1+9-es:0] tmp;
120
generate begin : gTmp
121
case(es)
122
0: assign tmp = {{PSTWID-1{~srxtmp}},srxtmp,sqrt1[PSTWID*2-2:0],{9-es{1'b0}}};
123
1,2,3,4,5,6:
124
  assign tmp = {{PSTWID-1{~srxtmp}},srxtmp,exp,sqrt1[PSTWID*2-2:0],{9-es{1'b0}}};
125
default:
126
always @*
127
  begin
128
    $display("positSqrt: unsupported es");
129
    $finish;
130
  end
131
endcase
132
end
133
endgenerate
134
wire [PSTWID*3-1+9-es:0] tmp1 = tmp >> rgml;
135
 
136
// Rounding
137
// Guard, Round, and Sticky
138
wire L = tmp1[PSTWID+8], G = tmp1[PSTWID+7], R = tmp1[PSTWID+6], St = |tmp1[PSTWID+5:0],
139
     ulp = ((G & (R | St)) | (L & G & ~(R | St)));
140
wire [PSTWID-1:0] rnd_ulp = {{PSTWID-1{1'b0}},ulp};
141
 
142
wire [PSTWID:0] tmp1_rnd_ulp = tmp1[2*PSTWID+7:PSTWID+8] + rnd_ulp;
143
wire [PSTWID-1:0] tmp1_rnd = (rgml < PSTWID-es-2) ? tmp1_rnd_ulp[PSTWID-1:0] : tmp1[2*PSTWID+7:PSTWID+8];
144
 
145
always @*
146
  casez({infi,sqrinf,sqrneg,zero})
147
  4'b1???:  o = {1'b1,{PSTWID-1{1'b0}}};
148
  4'b01??:  o = {1'b1,{PSTWID-1{1'b0}}};
149
  4'b001?:  o = {1'b1,{PSTWID-1{1'b0}}};
150
  4'b0001:  o = {PSTWID{1'b0}};
151
  default:  o = {1'b0,tmp1_rnd[PSTWID-1:1]};
152
  endcase
153
 
154
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.