OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog/] [FloatToInt.v] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2006-2016  Robert Finch, Stratford
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch<remove>@finitron.ca
6
//       ||
7
//
8
// This source file is free software: you can redistribute it and/or modify 
9
// it under the terms of the GNU Lesser General Public License as published 
10
// by the Free Software Foundation, either version 3 of the License, or     
11
// (at your option) any later version.                                      
12
//                                                                          
13
// This source file is distributed in the hope that it will be useful,      
14
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
15
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
16
// GNU General Public License for more details.                             
17
//                                                                          
18
// You should have received a copy of the GNU General Public License        
19
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
20
//
21
// FloatToInt
22
// - convert floating point to integer
23
// - Can convert a number on every clock cycle, with a latency of one cycle.
24
// - parameterized width
25
// - IEEE 754 representation
26
//
27
// The WID parameter should be either 32 or 64
28
// ============================================================================
29
//
30
module FloatToInt(clk, ce, i, o, overflow);
31
parameter WID = 32;
32
input clk;
33
input ce;
34
input [WID-1:0] i;
35
output [WID-1:0] o;
36
output overflow;
37
 
38
localparam MSB = WID-1;
39
localparam EMSB =
40
          WID==80 ? 14 :
41
          WID==64 ? 10 :
42
                                  WID==52 ? 10 :
43
                                  WID==48 ? 10 :
44
                                  WID==44 ? 10 :
45
                                  WID==42 ? 10 :
46
                                  WID==40 ?  9 :
47
                                  WID==32 ?  7 :
48
                                  WID==24 ?  6 : 4;
49
localparam FMSB =
50
          WID==80 ? 63 :
51
          WID==64 ? 51 :
52
                                  WID==52 ? 39 :
53
                                  WID==48 ? 35 :
54
                                  WID==44 ? 31 :
55
                                  WID==42 ? 29 :
56
                                  WID==40 ? 28 :
57
                                  WID==32 ? 22 :
58
                                  WID==24 ? 15 : 9;
59
 
60
wire [MSB:0] maxInt  = {MSB{1'b1}};              // maximum unsigned integer value
61
wire [EMSB:0] zeroXp = {EMSB{1'b1}};     // simple constant - value of exp for zero
62
 
63
// Decompose fp value
64
reg sgn;                                                                        // sign
65
always @(posedge clk)
66
        if (ce) sgn = i[MSB];
67
wire [EMSB:0] exp = i[MSB-1:FMSB+1];             // exponent
68
wire [FMSB+1:0] man = {exp!=0,i[FMSB:0]};  // mantissa including recreate hidden bit
69
 
70
wire iz = i[MSB-1:0]==0;                                  // zero value (special)
71
 
72
assign overflow  = exp - zeroXp > MSB;          // lots of numbers are too big - don't forget one less bit is available due to signed values
73
wire underflow = exp < zeroXp - 1;                      // value less than 1/2
74
 
75
wire [6:0] shamt = MSB - (exp - zeroXp); // exp - zeroXp will be <= MSB
76
 
77
wire [MSB+1:0] o1 = {man,{EMSB+1{1'b0}},1'b0} >> shamt;  // keep an extra bit for rounding
78
wire [MSB:0] o2 = o1[MSB+1:1] + o1[0];            // round up
79
reg [MSB:0] o3;
80
 
81
always @(posedge clk)
82
        if (ce) begin
83
                if (underflow|iz)
84
                        o3 <= 0;
85
                else if (overflow)
86
                        o3 <= maxInt;
87
                // value between 1/2 and 1 - round up
88
                else if (exp==zeroXp-1)
89
                        o3 <= 1;
90
                // value > 1
91
                else
92
                        o3 <= o2;
93
        end
94
 
95
assign o = sgn ? -o3 : o3;                                      // adjust output for correct signed value
96
 
97
endmodule
98
 
99
module FloatToInt_tb();
100
 
101
wire ov1,ov2,ov3,ov4,ov5,ov6;
102
wire [31:0] io1,io2,io3,io4,io5,io6;
103
reg clk;
104
 
105
initial begin
106
        clk = 0;
107
end
108
 
109
always #10 clk = ~clk;
110
 
111
FloatToInt #(32) u1 (.clk(clk), .ce(1'b1), .i(32'h3F800000), .o(io1), .overflow(ov1) ); // 1
112
FloatToInt #(32) u2 (.clk(clk), .ce(1'b1), .i(32'h00000000), .o(io2), .overflow(ov2) ); // zero should result in zero
113
FloatToInt #(32) u3 (.clk(clk), .ce(1'b1), .i(32'h4b3c614e), .o(io3), .overflow(ov3) ); // 12345678
114
 
115
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.