OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog/] [fpDecompReg.v] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 robfinch
`timescale 1ns / 1ps
2
// ============================================================================
3
//        __
4 26 robfinch
//   \\__/ o\    (C) 2006-2019  Robert Finch, Waterloo
5 8 robfinch
//    \  __ /    All rights reserved.
6
//     \/_//     robfinch<remove>@finitron.ca
7
//       ||
8
//
9
//      fpDecompReg.v
10
//    - decompose floating point value with registered outputs
11
//    - parameterized width
12
//
13
//
14
// This source file is free software: you can redistribute it and/or modify 
15
// it under the terms of the GNU Lesser General Public License as published 
16
// by the Free Software Foundation, either version 3 of the License, or     
17
// (at your option) any later version.                                      
18
//                                                                          
19
// This source file is distributed in the hope that it will be useful,      
20
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
21
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
22
// GNU General Public License for more details.                             
23
//                                                                          
24
// You should have received a copy of the GNU General Public License        
25
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
26
//                                                                          
27
// ============================================================================
28
 
29
module fpDecomp(i, sgn, exp, man, fract, xz, mz, vz, inf, xinf, qnan, snan, nan);
30
parameter WID=32;
31 26 robfinch
`include "fpSize.sv"
32 8 robfinch
 
33
input [MSB:0] i;
34
 
35
output sgn;
36
output [EMSB:0] exp;
37
output [FMSB:0] man;
38
output [FMSB+1:0] fract; // mantissa with hidden bit recovered
39
output xz;              // denormalized - exponent is zero
40
output mz;              // mantissa is zero
41
output vz;              // value is zero (both exponent and mantissa are zero)
42
output inf;             // all ones exponent, zero mantissa
43
output xinf;    // all ones exponent
44
output qnan;    // nan
45
output snan;    // signalling nan
46
output nan;
47
 
48
// Decompose input
49
assign sgn = i[MSB];
50
assign exp = i[MSB-1:FMSB+1];
51
assign man = i[FMSB:0];
52
assign xz = !(|exp);    // denormalized - exponent is zero
53
assign mz = !(|man);    // mantissa is zero
54
assign vz = xz & mz;    // value is zero (both exponent and mantissa are zero)
55
assign inf = &exp & mz; // all ones exponent, zero mantissa
56
assign xinf = &exp;
57
assign qnan = &exp &  man[FMSB];
58
assign snan = &exp & !man[FMSB] & !mz;
59
assign nan = &exp & !mz;
60
assign fract = {!xz,i[FMSB:0]};
61
 
62
endmodule
63
 
64
 
65
module fpDecompReg(clk, ce, i, o, sgn, exp, man, fract, xz, mz, vz, inf, xinf, qnan, snan, nan);
66
parameter WID=32;
67 26 robfinch
`include "fpSize.sv"
68 8 robfinch
 
69
input clk;
70
input ce;
71
input [MSB:0] i;
72
 
73
output reg [MSB:0] o;
74
output reg sgn;
75
output reg [EMSB:0] exp;
76
output reg [FMSB:0] man;
77
output reg [FMSB+1:0] fract;     // mantissa with hidden bit recovered
78
output reg xz;          // denormalized - exponent is zero
79
output reg mz;          // mantissa is zero
80
output reg vz;          // value is zero (both exponent and mantissa are zero)
81
output reg inf;         // all ones exponent, zero mantissa
82
output reg xinf;        // all ones exponent
83
output reg qnan;        // nan
84
output reg snan;        // signalling nan
85
output reg nan;
86
 
87
// Decompose input
88
always @(posedge clk)
89
        if (ce) begin
90
                o <= i;
91
                sgn = i[MSB];
92
                exp = i[MSB-1:FMSB+1];
93
                man = i[FMSB:0];
94
                xz = !(|exp);   // denormalized - exponent is zero
95
                mz = !(|man);   // mantissa is zero
96
                vz = xz & mz;   // value is zero (both exponent and mantissa are zero)
97
                inf = &exp & mz;        // all ones exponent, zero mantissa
98
                xinf = &exp;
99
                qnan = &exp &  man[FMSB];
100
                snan = &exp & !man[FMSB] & !mz;
101
                nan = &exp & !mz;
102
                fract = {|exp,i[FMSB:0]};
103
        end
104
 
105
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.