OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog/] [fpNormalize.v] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 robfinch
`timescale 1ns / 1ps
2 6 robfinch
// ============================================================================
3
//        __
4 8 robfinch
//   \\__/ o\    (C) 2006-2016  Robert Finch, Waterloo
5 6 robfinch
//    \  __ /    All rights reserved.
6
//     \/_//     robfinch<remove>@finitron.ca
7
//       ||
8
//
9 8 robfinch
//      fpNormalize.v
10
//    - floating point normalization unit
11
//    - two cycle latency
12
//    - parameterized width
13
//    - IEEE 754 representation
14
//
15
//
16 6 robfinch
// This source file is free software: you can redistribute it and/or modify 
17
// it under the terms of the GNU Lesser General Public License as published 
18
// by the Free Software Foundation, either version 3 of the License, or     
19
// (at your option) any later version.                                      
20
//                                                                          
21
// This source file is distributed in the hope that it will be useful,      
22
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
23
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
24
// GNU General Public License for more details.                             
25
//                                                                          
26
// You should have received a copy of the GNU General Public License        
27
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
28 8 robfinch
//                                                                          
29 6 robfinch
//      This unit takes a floating point number in an intermediate
30
// format and normalizes it. No normalization occurs
31
// for NaN's or infinities. The unit has a two cycle latency.
32
//
33 8 robfinch
// The mantissa is assumed to start with two whole bits on
34
// the left. The remaining bits are fractional.
35 6 robfinch
//
36
// The width of the incoming format is reduced via a generation
37
// of sticky bit in place of the low order fractional bits.
38
//
39
// On an underflowed input, the incoming exponent is assumed
40
// to be negative. A right shift is needed.
41
// ============================================================================
42 8 robfinch
 
43 6 robfinch
module fpNormalize(clk, ce, under, i, o);
44 8 robfinch
parameter WID = 128;
45 6 robfinch
localparam MSB = WID-1;
46 8 robfinch
localparam EMSB = WID==128 ? 14 :
47
                  WID==96 ? 14 :
48
                  WID==80 ? 14 :
49
                  WID==64 ? 10 :
50 6 robfinch
                                  WID==52 ? 10 :
51
                                  WID==48 ? 10 :
52
                                  WID==44 ? 10 :
53
                                  WID==42 ? 10 :
54
                                  WID==40 ?  9 :
55
                                  WID==32 ?  7 :
56
                                  WID==24 ?  6 : 4;
57 8 robfinch
localparam FMSB = WID==128 ? 111 :
58
                  WID==96 ? 79 :
59
                  WID==80 ? 63 :
60
                  WID==64 ? 51 :
61 6 robfinch
                                  WID==52 ? 39 :
62
                                  WID==48 ? 35 :
63
                                  WID==44 ? 31 :
64
                                  WID==42 ? 29 :
65
                                  WID==40 ? 28 :
66
                                  WID==32 ? 22 :
67
                                  WID==24 ? 15 : 9;
68
 
69 8 robfinch
localparam FX = (FMSB+2)*2-1;   // the MSB of the expanded fraction
70
localparam EX = FX + 1 + EMSB + 1 + 1 - 1;
71 6 robfinch
 
72
input clk;
73
input ce;
74
input under;
75 8 robfinch
input [EX:0] i;          // expanded format input
76
output [WID+2:0] o;              // normalized output + guard, sticky and round bits, + 1 whole digit
77 6 robfinch
 
78
// variables
79
wire so;
80
 
81 8 robfinch
wire so1 = i[EX];               // sign doesn't change
82 6 robfinch
 
83 8 robfinch
// Since the there are *two* whole digits in the incoming format
84 6 robfinch
// the number of whole digits needs to be reduced. If the MSB is
85 8 robfinch
// set, then increment the exponent and no shift is needed.
86 6 robfinch
wire [EMSB:0] xo;
87 8 robfinch
wire [EMSB:0] xo1a = i[EX-1:FX+1];
88
wire xInf = &xo1a & !under;
89
wire incExp1 = !xInf & i[FX];
90
wire [EMSB:0] xo1 = xo1a + incExp1;
91 6 robfinch
wire [EMSB:0] xo2;
92 8 robfinch
wire xInf1 = &xo1;
93 6 robfinch
 
94 8 robfinch
// If infinity is reached then set the mantissa to zero
95
wire gbit =  i[FMSB];
96
wire rbit =  i[FMSB-1];
97
wire sbit = |i[FMSB-2:0];
98 6 robfinch
// shift mantissa left by one to reduce to a single whole digit
99
// if there is no exponent increment
100 8 robfinch
wire [FMSB+4:0] mo;
101
wire [FMSB+4:0] mo1 = xInf1 & incExp1 ? 0 :
102
        incExp1 ? {i[FX:FMSB+2],gbit,rbit,sbit} :               // reduce mantissa size
103
                         {i[FX-1:FMSB+1],gbit,rbit,sbit};       // reduce mantissa size
104
wire [FMSB+3:0] mo2;
105 6 robfinch
wire [7:0] leadingZeros2;
106
 
107
generate
108
begin
109 8 robfinch
if (WID==32)
110
cntlz32Reg clz0 (.clk(clk), .ce(ce), .i({mo1,5'b0}), .o(leadingZeros2) );
111
else if (WID==128)
112
cntlz128Reg clz0 (.clk(clk), .ce(ce), .i({mo1,12'b0}), .o(leadingZeros2) );
113
else if (WID==96)
114
cntlz96Reg clz0 (.clk(clk), .ce(ce), .i({mo1,12'b0}), .o(leadingZeros2) );
115
else if (WID==80)
116
cntlz80Reg clz0 (.clk(clk), .ce(ce), .i({mo1,12'b0}), .o(leadingZeros2) );
117
else if (WID==64)
118
cntlz64Reg clz0 (.clk(clk), .ce(ce), .i({mo1,8'h0}), .o(leadingZeros2) );
119 6 robfinch
end
120
endgenerate
121
 
122
// compensate for leadingZeros delay
123
wire xInf2;
124
delay1 #(EMSB+1) d2(.clk(clk), .ce(ce), .i(xo1), .o(xo2) );
125 8 robfinch
delay1 #(1)      d3(.clk(clk), .ce(ce), .i(xInf1), .o(xInf2) );
126 6 robfinch
 
127
// If the exponent underflowed, then the shift direction must be to the
128
// right regardless of mantissa bits; the number is denormalized.
129
// Otherwise the shift direction must be to the left.
130
wire rightOrLeft2;      // 0=left,1=right
131 8 robfinch
delay1 #(1) d8(.clk(clk), .ce(ce), .i(under), .o(rightOrLeft2) );
132 6 robfinch
 
133 8 robfinch
// Compute how much we want to decrement by
134 6 robfinch
wire [7:0] lshiftAmt2 = leadingZeros2 > xo2 ? xo2 : leadingZeros2;
135
 
136
// compute amount to shift right
137
// at infinity the exponent can't be incremented, so we can't shift right
138
// otherwise it was an underflow situation so the exponent was negative
139
// shift amount needs to be negated for shift register
140 8 robfinch
wire [7:0] rshiftAmt2 = xInf2 ? 0 : -xo2 > FMSB+3 ? FMSB+4 : FMSB+4+xo2;  // xo2 is negative !
141 6 robfinch
 
142
 
143
// sign
144
// the output sign is the same as the input sign
145
delay1 #(1)      d7(.clk(clk), .ce(ce), .i(so1), .o(so) );
146
 
147
// exponent
148
//      always @(posedge clk)
149
//              if (ce)
150
assign xo =
151
                xInf2 ? xo2 :           // an infinite exponent is either a NaN or infinity; no need to change
152
                rightOrLeft2 ? 0 :       // on a right shift, the exponent was negative, it's being made to zero
153
                xo2 - lshiftAmt2;       // on a left shift, the exponent can't be decremented below zero
154
 
155
// mantissa
156 8 robfinch
delay1 #(FMSB+5) d4(.clk(clk), .ce(ce), .i(mo1), .o(mo2) );
157 6 robfinch
 
158 8 robfinch
wire [FMSB+3:0] mo2a;
159
//shiftAndMask #(FMSB+4) u1 (.op({rightOrLeft2,1'b0}), .a(mo2), .b(rightOrLeft2 ? lshiftAmt2 : rshiftAmt2), .mb(6'd0), .me(FMSB+3), .o(mo2a) );
160 6 robfinch
 
161
//      always @(posedge clk)
162
//              if (ce)
163 8 robfinch
assign mo = rightOrLeft2 ? mo2 >> rshiftAmt2 : mo2 << lshiftAmt2;
164 6 robfinch
 
165 8 robfinch
assign o = {so,xo,mo[FMSB+4:1]};
166 6 robfinch
 
167
endmodule
168 8 robfinch
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.