OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog/] [fpRsqrte.sv] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2017-2019  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//      fpRsqrte.v
9
//              - reciprocal square root estimate
10
//
11
//
12
// This source file is free software: you can redistribute it and/or modify
13
// it under the terms of the GNU Lesser General Public License as published
14
// by the Free Software Foundation, either version 3 of the License, or
15
// (at your option) any later version.
16
//
17
// This source file is distributed in the hope that it will be useful,
18
// but WITHOUT ANY WARRANTY; without even the implied warranty of
19
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
20
// GNU General Public License for more details.
21
//
22
// You should have received a copy of the GNU General Public License
23
// along with this program.  If not, see .
24
//
25
//
26
// ============================================================================
27
 
28
`include "fp_defines.v"
29
 
30
`define POINT5                  32'h3F000000
31
`define ONEPOINT5               32'h3FC00000
32
`define FRSQRTE_MAGIC           32'h5f3759df
33
 
34
//`define RSQRT_SM              1'b1
35
`define RSQRT_TBL               1'b1
36
 
37
module fpRsqrte(clk, ce, ld, a, o);
38
parameter WID = 80;
39
localparam MSB = WID-1;
40
localparam EMSB = WID==128 ? 14 :
41
                  WID==96 ? 14 :
42
                  WID==80 ? 14 :
43
                  WID==64 ? 10 :
44
                                  WID==52 ? 10 :
45
                                  WID==48 ? 11 :
46
                                  WID==44 ? 10 :
47
                                  WID==42 ? 10 :
48
                                  WID==40 ?  9 :
49
                                  WID==32 ?  7 :
50
                                  WID==24 ?  6 : 4;
51
localparam FMSB = WID==128 ? 111 :
52
                  WID==96 ? 79 :
53
                  WID==80 ? 63 :
54
                  WID==64 ? 51 :
55
                                  WID==52 ? 39 :
56
                                  WID==48 ? 34 :
57
                                  WID==44 ? 31 :
58
                                  WID==42 ? 29 :
59
                                  WID==40 ? 28 :
60
                                  WID==32 ? 22 :
61
                                  WID==24 ? 15 : 9;
62
input clk;
63
input ce;
64
input ld;
65
input [WID-1:0] a;
66
output reg [WID-1:0] o;
67
 
68
// An implementation of the approximation used in the Quake game.
69
 
70
wire [31:0] x2, x2yy, x2yy1p5;
71
wire [31:0] y, yy;
72
`ifdef RSQRT_TBL
73
// Reciprocal square root estimate using lookup table.
74
 
75
reg [15:0] RsqrteLUT2 [0:67];
76
reg [15:0] RsqrteLUT [0:8191];
77
 
78
initial begin
79
// This table stores the top 17 bits of the reciprocal square root estimate.
80
// (The sign bit is not stored).
81
// This table has two purposes. 1) The first 8129 entries of a 16k word lookup
82
// table are all infinity values, since they are the reciprocal of really
83
// small numbers. That means there's no real need to store those values in a
84
// lookup table. However that leaves about 64 "extra" values beyond what an
85
// 8192 entry lookup table could hold. So these values are stored in a smaller
86
// 68-entry lookup table. 2) A handful of values require the MSB of the
87
// exponent to be set. They won't fit in the 8192 entry table. Fortunately
88
// there are only four values like that.
89
//
90
RsqrteLUT2[0] = 16'h7f84;
91
RsqrteLUT2[1] = 16'h7f88;
92
RsqrteLUT2[2] = 16'h7f8c;
93
RsqrteLUT2[3] = 16'h7f90;
94
RsqrteLUT2[4] = 16'h7f94;
95
RsqrteLUT2[5] = 16'h7f98;
96
RsqrteLUT2[6] = 16'h7f9c;
97
RsqrteLUT2[7] = 16'h7fa0;
98
RsqrteLUT2[8] = 16'h7fa4;
99
RsqrteLUT2[9] = 16'h7fa8;
100
RsqrteLUT2[10] = 16'h7fac;
101
RsqrteLUT2[11] = 16'h7fb0;
102
RsqrteLUT2[12] = 16'h7fb4;
103
RsqrteLUT2[13] = 16'h7fb8;
104
RsqrteLUT2[14] = 16'h7fbc;
105
RsqrteLUT2[15] = 16'h7fc0;
106
RsqrteLUT2[16] = 16'h7fc4;
107
RsqrteLUT2[17] = 16'h7fc8;
108
RsqrteLUT2[18] = 16'h7fcc;
109
RsqrteLUT2[19] = 16'h7fd0;
110
RsqrteLUT2[20] = 16'h7fd4;
111
RsqrteLUT2[21] = 16'h7fd8;
112
RsqrteLUT2[22] = 16'h7fdc;
113
RsqrteLUT2[23] = 16'h7fe0;
114
RsqrteLUT2[24] = 16'h7fe4;
115
RsqrteLUT2[25] = 16'h7fe8;
116
RsqrteLUT2[26] = 16'h7fec;
117
RsqrteLUT2[27] = 16'h7ff0;
118
RsqrteLUT2[28] = 16'h7ff4;
119
RsqrteLUT2[29] = 16'h7ff8;
120
RsqrteLUT2[30] = 16'h7ffc;
121
RsqrteLUT2[31] = 16'h7f80;
122
RsqrteLUT2[32] = 16'h7f84;
123
RsqrteLUT2[33] = 16'h7f88;
124
RsqrteLUT2[34] = 16'h7f8c;
125
RsqrteLUT2[35] = 16'h7f90;
126
RsqrteLUT2[36] = 16'h7f94;
127
RsqrteLUT2[37] = 16'h7f98;
128
RsqrteLUT2[38] = 16'h7f9c;
129
RsqrteLUT2[39] = 16'h7fa0;
130
RsqrteLUT2[40] = 16'h7fa4;
131
RsqrteLUT2[41] = 16'h7fa8;
132
RsqrteLUT2[42] = 16'h7fac;
133
RsqrteLUT2[43] = 16'h7fb0;
134
RsqrteLUT2[44] = 16'h7fb4;
135
RsqrteLUT2[45] = 16'h7fb8;
136
RsqrteLUT2[46] = 16'h7fbc;
137
RsqrteLUT2[47] = 16'h7fc0;
138
RsqrteLUT2[48] = 16'h7fc4;
139
RsqrteLUT2[49] = 16'h7fc8;
140
RsqrteLUT2[50] = 16'h7fcc;
141
RsqrteLUT2[51] = 16'h7fd0;
142
RsqrteLUT2[52] = 16'h7fd4;
143
RsqrteLUT2[53] = 16'h7fd8;
144
RsqrteLUT2[54] = 16'h7fdc;
145
RsqrteLUT2[55] = 16'h7fe0;
146
RsqrteLUT2[56] = 16'h7fe4;
147
RsqrteLUT2[57] = 16'h7fe8;
148
RsqrteLUT2[58] = 16'h7fec;
149
RsqrteLUT2[59] = 16'h7ff0;
150
RsqrteLUT2[60] = 16'h7ff4;
151
RsqrteLUT2[61] = 16'h7ff8;
152
RsqrteLUT2[62] = 16'h7ffc;
153
RsqrteLUT2[63] = 16'h7f00;
154
RsqrteLUT2[64] = 16'h8100;
155
RsqrteLUT2[65] = 16'h806a;
156
RsqrteLUT2[66] = 16'h8027;
157
RsqrteLUT2[67] = 16'h8000;
158
// This table stores the top 18 bits of the reciprocal square root estimate
159
// for 32-bit single precision values. The sign bit is not stored. Also the
160
// MSB of the exponent is not stored (for this table it's always zero). That
161
// allows the top 9 bits of the mantissa to be stored. The final result won't
162
// be accurate to more than six bits however, as that is the number of bits
163
// from the mantissa used to lookup the result.
164
RsqrteLUT[5] = 16'hff93;
165
RsqrteLUT[6] = 16'hff44;
166
RsqrteLUT[7] = 16'hff06;
167
RsqrteLUT[8] = 16'hfed4;
168
RsqrteLUT[9] = 16'hfeaa;
169
RsqrteLUT[10] = 16'hfe87;
170
RsqrteLUT[11] = 16'hfe69;
171
RsqrteLUT[12] = 16'hfe4f;
172
RsqrteLUT[13] = 16'hfe38;
173
RsqrteLUT[14] = 16'hfe23;
174
RsqrteLUT[15] = 16'hfe10;
175
RsqrteLUT[16] = 16'hfe00;
176
RsqrteLUT[17] = 16'hfde1;
177
RsqrteLUT[18] = 16'hfdc5;
178
RsqrteLUT[19] = 16'hfdab;
179
RsqrteLUT[20] = 16'hfd93;
180
RsqrteLUT[21] = 16'hfd7d;
181
RsqrteLUT[22] = 16'hfd69;
182
RsqrteLUT[23] = 16'hfd56;
183
RsqrteLUT[24] = 16'hfd44;
184
RsqrteLUT[25] = 16'hfd33;
185
RsqrteLUT[26] = 16'hfd23;
186
RsqrteLUT[27] = 16'hfd14;
187
RsqrteLUT[28] = 16'hfd06;
188
RsqrteLUT[29] = 16'hfcf8;
189
RsqrteLUT[30] = 16'hfceb;
190
RsqrteLUT[31] = 16'hfcdf;
191
RsqrteLUT[32] = 16'hfcd4;
192
RsqrteLUT[33] = 16'hfcc9;
193
RsqrteLUT[34] = 16'hfcbe;
194
RsqrteLUT[35] = 16'hfcb4;
195
RsqrteLUT[36] = 16'hfcaa;
196
RsqrteLUT[37] = 16'hfca1;
197
RsqrteLUT[38] = 16'hfc98;
198
RsqrteLUT[39] = 16'hfc8f;
199
RsqrteLUT[40] = 16'hfc87;
200
RsqrteLUT[41] = 16'hfc7f;
201
RsqrteLUT[42] = 16'hfc78;
202
RsqrteLUT[43] = 16'hfc70;
203
RsqrteLUT[44] = 16'hfc69;
204
RsqrteLUT[45] = 16'hfc62;
205
RsqrteLUT[46] = 16'hfc5b;
206
RsqrteLUT[47] = 16'hfc55;
207
RsqrteLUT[48] = 16'hfc4f;
208
RsqrteLUT[49] = 16'hfc49;
209
RsqrteLUT[50] = 16'hfc43;
210
RsqrteLUT[51] = 16'hfc3d;
211
RsqrteLUT[52] = 16'hfc38;
212
RsqrteLUT[53] = 16'hfc32;
213
RsqrteLUT[54] = 16'hfc2d;
214
RsqrteLUT[55] = 16'hfc28;
215
RsqrteLUT[56] = 16'hfc23;
216
RsqrteLUT[57] = 16'hfc1e;
217
RsqrteLUT[58] = 16'hfc19;
218
RsqrteLUT[59] = 16'hfc15;
219
RsqrteLUT[60] = 16'hfc10;
220
RsqrteLUT[61] = 16'hfc0c;
221
RsqrteLUT[62] = 16'hfc08;
222
RsqrteLUT[63] = 16'hfc04;
223
RsqrteLUT[64] = 16'hfc00;
224
RsqrteLUT[65] = 16'hfbf8;
225
RsqrteLUT[66] = 16'hfbf0;
226
RsqrteLUT[67] = 16'hfbe8;
227
RsqrteLUT[68] = 16'hfbe1;
228
RsqrteLUT[69] = 16'hfbda;
229
RsqrteLUT[70] = 16'hfbd3;
230
RsqrteLUT[71] = 16'hfbcc;
231
RsqrteLUT[72] = 16'hfbc5;
232
RsqrteLUT[73] = 16'hfbbe;
233
RsqrteLUT[74] = 16'hfbb8;
234
RsqrteLUT[75] = 16'hfbb1;
235
RsqrteLUT[76] = 16'hfbab;
236
RsqrteLUT[77] = 16'hfba5;
237
RsqrteLUT[78] = 16'hfb9f;
238
RsqrteLUT[79] = 16'hfb99;
239
RsqrteLUT[80] = 16'hfb93;
240
RsqrteLUT[81] = 16'hfb8e;
241
RsqrteLUT[82] = 16'hfb88;
242
RsqrteLUT[83] = 16'hfb83;
243
RsqrteLUT[84] = 16'hfb7d;
244
RsqrteLUT[85] = 16'hfb78;
245
RsqrteLUT[86] = 16'hfb73;
246
RsqrteLUT[87] = 16'hfb6e;
247
RsqrteLUT[88] = 16'hfb69;
248
RsqrteLUT[89] = 16'hfb64;
249
RsqrteLUT[90] = 16'hfb5f;
250
RsqrteLUT[91] = 16'hfb5a;
251
RsqrteLUT[92] = 16'hfb56;
252
RsqrteLUT[93] = 16'hfb51;
253
RsqrteLUT[94] = 16'hfb4c;
254
RsqrteLUT[95] = 16'hfb48;
255
RsqrteLUT[96] = 16'hfb44;
256
RsqrteLUT[97] = 16'hfb3f;
257
RsqrteLUT[98] = 16'hfb3b;
258
RsqrteLUT[99] = 16'hfb37;
259
RsqrteLUT[100] = 16'hfb33;
260
RsqrteLUT[101] = 16'hfb2f;
261
RsqrteLUT[102] = 16'hfb2b;
262
RsqrteLUT[103] = 16'hfb27;
263
RsqrteLUT[104] = 16'hfb23;
264
RsqrteLUT[105] = 16'hfb1f;
265
RsqrteLUT[106] = 16'hfb1b;
266
RsqrteLUT[107] = 16'hfb17;
267
RsqrteLUT[108] = 16'hfb14;
268
RsqrteLUT[109] = 16'hfb10;
269
RsqrteLUT[110] = 16'hfb0d;
270
RsqrteLUT[111] = 16'hfb09;
271
RsqrteLUT[112] = 16'hfb06;
272
RsqrteLUT[113] = 16'hfb02;
273
RsqrteLUT[114] = 16'hfaff;
274
RsqrteLUT[115] = 16'hfafb;
275
RsqrteLUT[116] = 16'hfaf8;
276
RsqrteLUT[117] = 16'hfaf5;
277
RsqrteLUT[118] = 16'hfaf2;
278
RsqrteLUT[119] = 16'hfaee;
279
RsqrteLUT[120] = 16'hfaeb;
280
RsqrteLUT[121] = 16'hfae8;
281
RsqrteLUT[122] = 16'hfae5;
282
RsqrteLUT[123] = 16'hfae2;
283
RsqrteLUT[124] = 16'hfadf;
284
RsqrteLUT[125] = 16'hfadc;
285
RsqrteLUT[126] = 16'hfad9;
286
RsqrteLUT[127] = 16'hfad6;
287
RsqrteLUT[128] = 16'hfad4;
288
RsqrteLUT[129] = 16'hface;
289
RsqrteLUT[130] = 16'hfac9;
290
RsqrteLUT[131] = 16'hfac3;
291
RsqrteLUT[132] = 16'hfabe;
292
RsqrteLUT[133] = 16'hfab9;
293
RsqrteLUT[134] = 16'hfab4;
294
RsqrteLUT[135] = 16'hfaaf;
295
RsqrteLUT[136] = 16'hfaaa;
296
RsqrteLUT[137] = 16'hfaa5;
297
RsqrteLUT[138] = 16'hfaa1;
298
RsqrteLUT[139] = 16'hfa9c;
299
RsqrteLUT[140] = 16'hfa98;
300
RsqrteLUT[141] = 16'hfa94;
301
RsqrteLUT[142] = 16'hfa8f;
302
RsqrteLUT[143] = 16'hfa8b;
303
RsqrteLUT[144] = 16'hfa87;
304
RsqrteLUT[145] = 16'hfa83;
305
RsqrteLUT[146] = 16'hfa7f;
306
RsqrteLUT[147] = 16'hfa7b;
307
RsqrteLUT[148] = 16'hfa78;
308
RsqrteLUT[149] = 16'hfa74;
309
RsqrteLUT[150] = 16'hfa70;
310
RsqrteLUT[151] = 16'hfa6d;
311
RsqrteLUT[152] = 16'hfa69;
312
RsqrteLUT[153] = 16'hfa66;
313
RsqrteLUT[154] = 16'hfa62;
314
RsqrteLUT[155] = 16'hfa5f;
315
RsqrteLUT[156] = 16'hfa5b;
316
RsqrteLUT[157] = 16'hfa58;
317
RsqrteLUT[158] = 16'hfa55;
318
RsqrteLUT[159] = 16'hfa52;
319
RsqrteLUT[160] = 16'hfa4f;
320
RsqrteLUT[161] = 16'hfa4c;
321
RsqrteLUT[162] = 16'hfa49;
322
RsqrteLUT[163] = 16'hfa46;
323
RsqrteLUT[164] = 16'hfa43;
324
RsqrteLUT[165] = 16'hfa40;
325
RsqrteLUT[166] = 16'hfa3d;
326
RsqrteLUT[167] = 16'hfa3a;
327
RsqrteLUT[168] = 16'hfa38;
328
RsqrteLUT[169] = 16'hfa35;
329
RsqrteLUT[170] = 16'hfa32;
330
RsqrteLUT[171] = 16'hfa2f;
331
RsqrteLUT[172] = 16'hfa2d;
332
RsqrteLUT[173] = 16'hfa2a;
333
RsqrteLUT[174] = 16'hfa28;
334
RsqrteLUT[175] = 16'hfa25;
335
RsqrteLUT[176] = 16'hfa23;
336
RsqrteLUT[177] = 16'hfa20;
337
RsqrteLUT[178] = 16'hfa1e;
338
RsqrteLUT[179] = 16'hfa1c;
339
RsqrteLUT[180] = 16'hfa19;
340
RsqrteLUT[181] = 16'hfa17;
341
RsqrteLUT[182] = 16'hfa15;
342
RsqrteLUT[183] = 16'hfa13;
343
RsqrteLUT[184] = 16'hfa10;
344
RsqrteLUT[185] = 16'hfa0e;
345
RsqrteLUT[186] = 16'hfa0c;
346
RsqrteLUT[187] = 16'hfa0a;
347
RsqrteLUT[188] = 16'hfa08;
348
RsqrteLUT[189] = 16'hfa06;
349
RsqrteLUT[190] = 16'hfa04;
350
RsqrteLUT[191] = 16'hfa02;
351
RsqrteLUT[192] = 16'hfa00;
352
RsqrteLUT[193] = 16'hf9f8;
353
RsqrteLUT[194] = 16'hf9f0;
354
RsqrteLUT[195] = 16'hf9e8;
355
RsqrteLUT[196] = 16'hf9e1;
356
RsqrteLUT[197] = 16'hf9da;
357
RsqrteLUT[198] = 16'hf9d3;
358
RsqrteLUT[199] = 16'hf9cc;
359
RsqrteLUT[200] = 16'hf9c5;
360
RsqrteLUT[201] = 16'hf9be;
361
RsqrteLUT[202] = 16'hf9b8;
362
RsqrteLUT[203] = 16'hf9b1;
363
RsqrteLUT[204] = 16'hf9ab;
364
RsqrteLUT[205] = 16'hf9a5;
365
RsqrteLUT[206] = 16'hf99f;
366
RsqrteLUT[207] = 16'hf999;
367
RsqrteLUT[208] = 16'hf993;
368
RsqrteLUT[209] = 16'hf98e;
369
RsqrteLUT[210] = 16'hf988;
370
RsqrteLUT[211] = 16'hf983;
371
RsqrteLUT[212] = 16'hf97d;
372
RsqrteLUT[213] = 16'hf978;
373
RsqrteLUT[214] = 16'hf973;
374
RsqrteLUT[215] = 16'hf96e;
375
RsqrteLUT[216] = 16'hf969;
376
RsqrteLUT[217] = 16'hf964;
377
RsqrteLUT[218] = 16'hf95f;
378
RsqrteLUT[219] = 16'hf95a;
379
RsqrteLUT[220] = 16'hf956;
380
RsqrteLUT[221] = 16'hf951;
381
RsqrteLUT[222] = 16'hf94c;
382
RsqrteLUT[223] = 16'hf948;
383
RsqrteLUT[224] = 16'hf944;
384
RsqrteLUT[225] = 16'hf93f;
385
RsqrteLUT[226] = 16'hf93b;
386
RsqrteLUT[227] = 16'hf937;
387
RsqrteLUT[228] = 16'hf933;
388
RsqrteLUT[229] = 16'hf92f;
389
RsqrteLUT[230] = 16'hf92b;
390
RsqrteLUT[231] = 16'hf927;
391
RsqrteLUT[232] = 16'hf923;
392
RsqrteLUT[233] = 16'hf91f;
393
RsqrteLUT[234] = 16'hf91b;
394
RsqrteLUT[235] = 16'hf917;
395
RsqrteLUT[236] = 16'hf914;
396
RsqrteLUT[237] = 16'hf910;
397
RsqrteLUT[238] = 16'hf90d;
398
RsqrteLUT[239] = 16'hf909;
399
RsqrteLUT[240] = 16'hf906;
400
RsqrteLUT[241] = 16'hf902;
401
RsqrteLUT[242] = 16'hf8ff;
402
RsqrteLUT[243] = 16'hf8fb;
403
RsqrteLUT[244] = 16'hf8f8;
404
RsqrteLUT[245] = 16'hf8f5;
405
RsqrteLUT[246] = 16'hf8f2;
406
RsqrteLUT[247] = 16'hf8ee;
407
RsqrteLUT[248] = 16'hf8eb;
408
RsqrteLUT[249] = 16'hf8e8;
409
RsqrteLUT[250] = 16'hf8e5;
410
RsqrteLUT[251] = 16'hf8e2;
411
RsqrteLUT[252] = 16'hf8df;
412
RsqrteLUT[253] = 16'hf8dc;
413
RsqrteLUT[254] = 16'hf8d9;
414
RsqrteLUT[255] = 16'hf8d6;
415
RsqrteLUT[256] = 16'hf8d4;
416
RsqrteLUT[257] = 16'hf8ce;
417
RsqrteLUT[258] = 16'hf8c9;
418
RsqrteLUT[259] = 16'hf8c3;
419
RsqrteLUT[260] = 16'hf8be;
420
RsqrteLUT[261] = 16'hf8b9;
421
RsqrteLUT[262] = 16'hf8b4;
422
RsqrteLUT[263] = 16'hf8af;
423
RsqrteLUT[264] = 16'hf8aa;
424
RsqrteLUT[265] = 16'hf8a5;
425
RsqrteLUT[266] = 16'hf8a1;
426
RsqrteLUT[267] = 16'hf89c;
427
RsqrteLUT[268] = 16'hf898;
428
RsqrteLUT[269] = 16'hf894;
429
RsqrteLUT[270] = 16'hf88f;
430
RsqrteLUT[271] = 16'hf88b;
431
RsqrteLUT[272] = 16'hf887;
432
RsqrteLUT[273] = 16'hf883;
433
RsqrteLUT[274] = 16'hf87f;
434
RsqrteLUT[275] = 16'hf87b;
435
RsqrteLUT[276] = 16'hf878;
436
RsqrteLUT[277] = 16'hf874;
437
RsqrteLUT[278] = 16'hf870;
438
RsqrteLUT[279] = 16'hf86d;
439
RsqrteLUT[280] = 16'hf869;
440
RsqrteLUT[281] = 16'hf866;
441
RsqrteLUT[282] = 16'hf862;
442
RsqrteLUT[283] = 16'hf85f;
443
RsqrteLUT[284] = 16'hf85b;
444
RsqrteLUT[285] = 16'hf858;
445
RsqrteLUT[286] = 16'hf855;
446
RsqrteLUT[287] = 16'hf852;
447
RsqrteLUT[288] = 16'hf84f;
448
RsqrteLUT[289] = 16'hf84c;
449
RsqrteLUT[290] = 16'hf849;
450
RsqrteLUT[291] = 16'hf846;
451
RsqrteLUT[292] = 16'hf843;
452
RsqrteLUT[293] = 16'hf840;
453
RsqrteLUT[294] = 16'hf83d;
454
RsqrteLUT[295] = 16'hf83a;
455
RsqrteLUT[296] = 16'hf838;
456
RsqrteLUT[297] = 16'hf835;
457
RsqrteLUT[298] = 16'hf832;
458
RsqrteLUT[299] = 16'hf82f;
459
RsqrteLUT[300] = 16'hf82d;
460
RsqrteLUT[301] = 16'hf82a;
461
RsqrteLUT[302] = 16'hf828;
462
RsqrteLUT[303] = 16'hf825;
463
RsqrteLUT[304] = 16'hf823;
464
RsqrteLUT[305] = 16'hf820;
465
RsqrteLUT[306] = 16'hf81e;
466
RsqrteLUT[307] = 16'hf81c;
467
RsqrteLUT[308] = 16'hf819;
468
RsqrteLUT[309] = 16'hf817;
469
RsqrteLUT[310] = 16'hf815;
470
RsqrteLUT[311] = 16'hf813;
471
RsqrteLUT[312] = 16'hf810;
472
RsqrteLUT[313] = 16'hf80e;
473
RsqrteLUT[314] = 16'hf80c;
474
RsqrteLUT[315] = 16'hf80a;
475
RsqrteLUT[316] = 16'hf808;
476
RsqrteLUT[317] = 16'hf806;
477
RsqrteLUT[318] = 16'hf804;
478
RsqrteLUT[319] = 16'hf802;
479
RsqrteLUT[320] = 16'hf800;
480
RsqrteLUT[321] = 16'hf7f8;
481
RsqrteLUT[322] = 16'hf7f0;
482
RsqrteLUT[323] = 16'hf7e8;
483
RsqrteLUT[324] = 16'hf7e1;
484
RsqrteLUT[325] = 16'hf7da;
485
RsqrteLUT[326] = 16'hf7d3;
486
RsqrteLUT[327] = 16'hf7cc;
487
RsqrteLUT[328] = 16'hf7c5;
488
RsqrteLUT[329] = 16'hf7be;
489
RsqrteLUT[330] = 16'hf7b8;
490
RsqrteLUT[331] = 16'hf7b1;
491
RsqrteLUT[332] = 16'hf7ab;
492
RsqrteLUT[333] = 16'hf7a5;
493
RsqrteLUT[334] = 16'hf79f;
494
RsqrteLUT[335] = 16'hf799;
495
RsqrteLUT[336] = 16'hf793;
496
RsqrteLUT[337] = 16'hf78e;
497
RsqrteLUT[338] = 16'hf788;
498
RsqrteLUT[339] = 16'hf783;
499
RsqrteLUT[340] = 16'hf77d;
500
RsqrteLUT[341] = 16'hf778;
501
RsqrteLUT[342] = 16'hf773;
502
RsqrteLUT[343] = 16'hf76e;
503
RsqrteLUT[344] = 16'hf769;
504
RsqrteLUT[345] = 16'hf764;
505
RsqrteLUT[346] = 16'hf75f;
506
RsqrteLUT[347] = 16'hf75a;
507
RsqrteLUT[348] = 16'hf756;
508
RsqrteLUT[349] = 16'hf751;
509
RsqrteLUT[350] = 16'hf74c;
510
RsqrteLUT[351] = 16'hf748;
511
RsqrteLUT[352] = 16'hf744;
512
RsqrteLUT[353] = 16'hf73f;
513
RsqrteLUT[354] = 16'hf73b;
514
RsqrteLUT[355] = 16'hf737;
515
RsqrteLUT[356] = 16'hf733;
516
RsqrteLUT[357] = 16'hf72f;
517
RsqrteLUT[358] = 16'hf72b;
518
RsqrteLUT[359] = 16'hf727;
519
RsqrteLUT[360] = 16'hf723;
520
RsqrteLUT[361] = 16'hf71f;
521
RsqrteLUT[362] = 16'hf71b;
522
RsqrteLUT[363] = 16'hf717;
523
RsqrteLUT[364] = 16'hf714;
524
RsqrteLUT[365] = 16'hf710;
525
RsqrteLUT[366] = 16'hf70d;
526
RsqrteLUT[367] = 16'hf709;
527
RsqrteLUT[368] = 16'hf706;
528
RsqrteLUT[369] = 16'hf702;
529
RsqrteLUT[370] = 16'hf6ff;
530
RsqrteLUT[371] = 16'hf6fb;
531
RsqrteLUT[372] = 16'hf6f8;
532
RsqrteLUT[373] = 16'hf6f5;
533
RsqrteLUT[374] = 16'hf6f2;
534
RsqrteLUT[375] = 16'hf6ee;
535
RsqrteLUT[376] = 16'hf6eb;
536
RsqrteLUT[377] = 16'hf6e8;
537
RsqrteLUT[378] = 16'hf6e5;
538
RsqrteLUT[379] = 16'hf6e2;
539
RsqrteLUT[380] = 16'hf6df;
540
RsqrteLUT[381] = 16'hf6dc;
541
RsqrteLUT[382] = 16'hf6d9;
542
RsqrteLUT[383] = 16'hf6d6;
543
RsqrteLUT[384] = 16'hf6d4;
544
RsqrteLUT[385] = 16'hf6ce;
545
RsqrteLUT[386] = 16'hf6c9;
546
RsqrteLUT[387] = 16'hf6c3;
547
RsqrteLUT[388] = 16'hf6be;
548
RsqrteLUT[389] = 16'hf6b9;
549
RsqrteLUT[390] = 16'hf6b4;
550
RsqrteLUT[391] = 16'hf6af;
551
RsqrteLUT[392] = 16'hf6aa;
552
RsqrteLUT[393] = 16'hf6a5;
553
RsqrteLUT[394] = 16'hf6a1;
554
RsqrteLUT[395] = 16'hf69c;
555
RsqrteLUT[396] = 16'hf698;
556
RsqrteLUT[397] = 16'hf694;
557
RsqrteLUT[398] = 16'hf68f;
558
RsqrteLUT[399] = 16'hf68b;
559
RsqrteLUT[400] = 16'hf687;
560
RsqrteLUT[401] = 16'hf683;
561
RsqrteLUT[402] = 16'hf67f;
562
RsqrteLUT[403] = 16'hf67b;
563
RsqrteLUT[404] = 16'hf678;
564
RsqrteLUT[405] = 16'hf674;
565
RsqrteLUT[406] = 16'hf670;
566
RsqrteLUT[407] = 16'hf66d;
567
RsqrteLUT[408] = 16'hf669;
568
RsqrteLUT[409] = 16'hf666;
569
RsqrteLUT[410] = 16'hf662;
570
RsqrteLUT[411] = 16'hf65f;
571
RsqrteLUT[412] = 16'hf65b;
572
RsqrteLUT[413] = 16'hf658;
573
RsqrteLUT[414] = 16'hf655;
574
RsqrteLUT[415] = 16'hf652;
575
RsqrteLUT[416] = 16'hf64f;
576
RsqrteLUT[417] = 16'hf64c;
577
RsqrteLUT[418] = 16'hf649;
578
RsqrteLUT[419] = 16'hf646;
579
RsqrteLUT[420] = 16'hf643;
580
RsqrteLUT[421] = 16'hf640;
581
RsqrteLUT[422] = 16'hf63d;
582
RsqrteLUT[423] = 16'hf63a;
583
RsqrteLUT[424] = 16'hf638;
584
RsqrteLUT[425] = 16'hf635;
585
RsqrteLUT[426] = 16'hf632;
586
RsqrteLUT[427] = 16'hf62f;
587
RsqrteLUT[428] = 16'hf62d;
588
RsqrteLUT[429] = 16'hf62a;
589
RsqrteLUT[430] = 16'hf628;
590
RsqrteLUT[431] = 16'hf625;
591
RsqrteLUT[432] = 16'hf623;
592
RsqrteLUT[433] = 16'hf620;
593
RsqrteLUT[434] = 16'hf61e;
594
RsqrteLUT[435] = 16'hf61c;
595
RsqrteLUT[436] = 16'hf619;
596
RsqrteLUT[437] = 16'hf617;
597
RsqrteLUT[438] = 16'hf615;
598
RsqrteLUT[439] = 16'hf613;
599
RsqrteLUT[440] = 16'hf610;
600
RsqrteLUT[441] = 16'hf60e;
601
RsqrteLUT[442] = 16'hf60c;
602
RsqrteLUT[443] = 16'hf60a;
603
RsqrteLUT[444] = 16'hf608;
604
RsqrteLUT[445] = 16'hf606;
605
RsqrteLUT[446] = 16'hf604;
606
RsqrteLUT[447] = 16'hf602;
607
RsqrteLUT[448] = 16'hf600;
608
RsqrteLUT[449] = 16'hf5f8;
609
RsqrteLUT[450] = 16'hf5f0;
610
RsqrteLUT[451] = 16'hf5e8;
611
RsqrteLUT[452] = 16'hf5e1;
612
RsqrteLUT[453] = 16'hf5da;
613
RsqrteLUT[454] = 16'hf5d3;
614
RsqrteLUT[455] = 16'hf5cc;
615
RsqrteLUT[456] = 16'hf5c5;
616
RsqrteLUT[457] = 16'hf5be;
617
RsqrteLUT[458] = 16'hf5b8;
618
RsqrteLUT[459] = 16'hf5b1;
619
RsqrteLUT[460] = 16'hf5ab;
620
RsqrteLUT[461] = 16'hf5a5;
621
RsqrteLUT[462] = 16'hf59f;
622
RsqrteLUT[463] = 16'hf599;
623
RsqrteLUT[464] = 16'hf593;
624
RsqrteLUT[465] = 16'hf58e;
625
RsqrteLUT[466] = 16'hf588;
626
RsqrteLUT[467] = 16'hf583;
627
RsqrteLUT[468] = 16'hf57d;
628
RsqrteLUT[469] = 16'hf578;
629
RsqrteLUT[470] = 16'hf573;
630
RsqrteLUT[471] = 16'hf56e;
631
RsqrteLUT[472] = 16'hf569;
632
RsqrteLUT[473] = 16'hf564;
633
RsqrteLUT[474] = 16'hf55f;
634
RsqrteLUT[475] = 16'hf55a;
635
RsqrteLUT[476] = 16'hf556;
636
RsqrteLUT[477] = 16'hf551;
637
RsqrteLUT[478] = 16'hf54c;
638
RsqrteLUT[479] = 16'hf548;
639
RsqrteLUT[480] = 16'hf544;
640
RsqrteLUT[481] = 16'hf53f;
641
RsqrteLUT[482] = 16'hf53b;
642
RsqrteLUT[483] = 16'hf537;
643
RsqrteLUT[484] = 16'hf533;
644
RsqrteLUT[485] = 16'hf52f;
645
RsqrteLUT[486] = 16'hf52b;
646
RsqrteLUT[487] = 16'hf527;
647
RsqrteLUT[488] = 16'hf523;
648
RsqrteLUT[489] = 16'hf51f;
649
RsqrteLUT[490] = 16'hf51b;
650
RsqrteLUT[491] = 16'hf517;
651
RsqrteLUT[492] = 16'hf514;
652
RsqrteLUT[493] = 16'hf510;
653
RsqrteLUT[494] = 16'hf50d;
654
RsqrteLUT[495] = 16'hf509;
655
RsqrteLUT[496] = 16'hf506;
656
RsqrteLUT[497] = 16'hf502;
657
RsqrteLUT[498] = 16'hf4ff;
658
RsqrteLUT[499] = 16'hf4fb;
659
RsqrteLUT[500] = 16'hf4f8;
660
RsqrteLUT[501] = 16'hf4f5;
661
RsqrteLUT[502] = 16'hf4f2;
662
RsqrteLUT[503] = 16'hf4ee;
663
RsqrteLUT[504] = 16'hf4eb;
664
RsqrteLUT[505] = 16'hf4e8;
665
RsqrteLUT[506] = 16'hf4e5;
666
RsqrteLUT[507] = 16'hf4e2;
667
RsqrteLUT[508] = 16'hf4df;
668
RsqrteLUT[509] = 16'hf4dc;
669
RsqrteLUT[510] = 16'hf4d9;
670
RsqrteLUT[511] = 16'hf4d6;
671
RsqrteLUT[512] = 16'hf4d4;
672
RsqrteLUT[513] = 16'hf4ce;
673
RsqrteLUT[514] = 16'hf4c9;
674
RsqrteLUT[515] = 16'hf4c3;
675
RsqrteLUT[516] = 16'hf4be;
676
RsqrteLUT[517] = 16'hf4b9;
677
RsqrteLUT[518] = 16'hf4b4;
678
RsqrteLUT[519] = 16'hf4af;
679
RsqrteLUT[520] = 16'hf4aa;
680
RsqrteLUT[521] = 16'hf4a5;
681
RsqrteLUT[522] = 16'hf4a1;
682
RsqrteLUT[523] = 16'hf49c;
683
RsqrteLUT[524] = 16'hf498;
684
RsqrteLUT[525] = 16'hf494;
685
RsqrteLUT[526] = 16'hf48f;
686
RsqrteLUT[527] = 16'hf48b;
687
RsqrteLUT[528] = 16'hf487;
688
RsqrteLUT[529] = 16'hf483;
689
RsqrteLUT[530] = 16'hf47f;
690
RsqrteLUT[531] = 16'hf47b;
691
RsqrteLUT[532] = 16'hf478;
692
RsqrteLUT[533] = 16'hf474;
693
RsqrteLUT[534] = 16'hf470;
694
RsqrteLUT[535] = 16'hf46d;
695
RsqrteLUT[536] = 16'hf469;
696
RsqrteLUT[537] = 16'hf466;
697
RsqrteLUT[538] = 16'hf462;
698
RsqrteLUT[539] = 16'hf45f;
699
RsqrteLUT[540] = 16'hf45b;
700
RsqrteLUT[541] = 16'hf458;
701
RsqrteLUT[542] = 16'hf455;
702
RsqrteLUT[543] = 16'hf452;
703
RsqrteLUT[544] = 16'hf44f;
704
RsqrteLUT[545] = 16'hf44c;
705
RsqrteLUT[546] = 16'hf449;
706
RsqrteLUT[547] = 16'hf446;
707
RsqrteLUT[548] = 16'hf443;
708
RsqrteLUT[549] = 16'hf440;
709
RsqrteLUT[550] = 16'hf43d;
710
RsqrteLUT[551] = 16'hf43a;
711
RsqrteLUT[552] = 16'hf438;
712
RsqrteLUT[553] = 16'hf435;
713
RsqrteLUT[554] = 16'hf432;
714
RsqrteLUT[555] = 16'hf42f;
715
RsqrteLUT[556] = 16'hf42d;
716
RsqrteLUT[557] = 16'hf42a;
717
RsqrteLUT[558] = 16'hf428;
718
RsqrteLUT[559] = 16'hf425;
719
RsqrteLUT[560] = 16'hf423;
720
RsqrteLUT[561] = 16'hf420;
721
RsqrteLUT[562] = 16'hf41e;
722
RsqrteLUT[563] = 16'hf41c;
723
RsqrteLUT[564] = 16'hf419;
724
RsqrteLUT[565] = 16'hf417;
725
RsqrteLUT[566] = 16'hf415;
726
RsqrteLUT[567] = 16'hf413;
727
RsqrteLUT[568] = 16'hf410;
728
RsqrteLUT[569] = 16'hf40e;
729
RsqrteLUT[570] = 16'hf40c;
730
RsqrteLUT[571] = 16'hf40a;
731
RsqrteLUT[572] = 16'hf408;
732
RsqrteLUT[573] = 16'hf406;
733
RsqrteLUT[574] = 16'hf404;
734
RsqrteLUT[575] = 16'hf402;
735
RsqrteLUT[576] = 16'hf400;
736
RsqrteLUT[577] = 16'hf3f8;
737
RsqrteLUT[578] = 16'hf3f0;
738
RsqrteLUT[579] = 16'hf3e8;
739
RsqrteLUT[580] = 16'hf3e1;
740
RsqrteLUT[581] = 16'hf3da;
741
RsqrteLUT[582] = 16'hf3d3;
742
RsqrteLUT[583] = 16'hf3cc;
743
RsqrteLUT[584] = 16'hf3c5;
744
RsqrteLUT[585] = 16'hf3be;
745
RsqrteLUT[586] = 16'hf3b8;
746
RsqrteLUT[587] = 16'hf3b1;
747
RsqrteLUT[588] = 16'hf3ab;
748
RsqrteLUT[589] = 16'hf3a5;
749
RsqrteLUT[590] = 16'hf39f;
750
RsqrteLUT[591] = 16'hf399;
751
RsqrteLUT[592] = 16'hf393;
752
RsqrteLUT[593] = 16'hf38e;
753
RsqrteLUT[594] = 16'hf388;
754
RsqrteLUT[595] = 16'hf383;
755
RsqrteLUT[596] = 16'hf37d;
756
RsqrteLUT[597] = 16'hf378;
757
RsqrteLUT[598] = 16'hf373;
758
RsqrteLUT[599] = 16'hf36e;
759
RsqrteLUT[600] = 16'hf369;
760
RsqrteLUT[601] = 16'hf364;
761
RsqrteLUT[602] = 16'hf35f;
762
RsqrteLUT[603] = 16'hf35a;
763
RsqrteLUT[604] = 16'hf356;
764
RsqrteLUT[605] = 16'hf351;
765
RsqrteLUT[606] = 16'hf34c;
766
RsqrteLUT[607] = 16'hf348;
767
RsqrteLUT[608] = 16'hf344;
768
RsqrteLUT[609] = 16'hf33f;
769
RsqrteLUT[610] = 16'hf33b;
770
RsqrteLUT[611] = 16'hf337;
771
RsqrteLUT[612] = 16'hf333;
772
RsqrteLUT[613] = 16'hf32f;
773
RsqrteLUT[614] = 16'hf32b;
774
RsqrteLUT[615] = 16'hf327;
775
RsqrteLUT[616] = 16'hf323;
776
RsqrteLUT[617] = 16'hf31f;
777
RsqrteLUT[618] = 16'hf31b;
778
RsqrteLUT[619] = 16'hf317;
779
RsqrteLUT[620] = 16'hf314;
780
RsqrteLUT[621] = 16'hf310;
781
RsqrteLUT[622] = 16'hf30d;
782
RsqrteLUT[623] = 16'hf309;
783
RsqrteLUT[624] = 16'hf306;
784
RsqrteLUT[625] = 16'hf302;
785
RsqrteLUT[626] = 16'hf2ff;
786
RsqrteLUT[627] = 16'hf2fb;
787
RsqrteLUT[628] = 16'hf2f8;
788
RsqrteLUT[629] = 16'hf2f5;
789
RsqrteLUT[630] = 16'hf2f2;
790
RsqrteLUT[631] = 16'hf2ee;
791
RsqrteLUT[632] = 16'hf2eb;
792
RsqrteLUT[633] = 16'hf2e8;
793
RsqrteLUT[634] = 16'hf2e5;
794
RsqrteLUT[635] = 16'hf2e2;
795
RsqrteLUT[636] = 16'hf2df;
796
RsqrteLUT[637] = 16'hf2dc;
797
RsqrteLUT[638] = 16'hf2d9;
798
RsqrteLUT[639] = 16'hf2d6;
799
RsqrteLUT[640] = 16'hf2d4;
800
RsqrteLUT[641] = 16'hf2ce;
801
RsqrteLUT[642] = 16'hf2c9;
802
RsqrteLUT[643] = 16'hf2c3;
803
RsqrteLUT[644] = 16'hf2be;
804
RsqrteLUT[645] = 16'hf2b9;
805
RsqrteLUT[646] = 16'hf2b4;
806
RsqrteLUT[647] = 16'hf2af;
807
RsqrteLUT[648] = 16'hf2aa;
808
RsqrteLUT[649] = 16'hf2a5;
809
RsqrteLUT[650] = 16'hf2a1;
810
RsqrteLUT[651] = 16'hf29c;
811
RsqrteLUT[652] = 16'hf298;
812
RsqrteLUT[653] = 16'hf294;
813
RsqrteLUT[654] = 16'hf28f;
814
RsqrteLUT[655] = 16'hf28b;
815
RsqrteLUT[656] = 16'hf287;
816
RsqrteLUT[657] = 16'hf283;
817
RsqrteLUT[658] = 16'hf27f;
818
RsqrteLUT[659] = 16'hf27b;
819
RsqrteLUT[660] = 16'hf278;
820
RsqrteLUT[661] = 16'hf274;
821
RsqrteLUT[662] = 16'hf270;
822
RsqrteLUT[663] = 16'hf26d;
823
RsqrteLUT[664] = 16'hf269;
824
RsqrteLUT[665] = 16'hf266;
825
RsqrteLUT[666] = 16'hf262;
826
RsqrteLUT[667] = 16'hf25f;
827
RsqrteLUT[668] = 16'hf25b;
828
RsqrteLUT[669] = 16'hf258;
829
RsqrteLUT[670] = 16'hf255;
830
RsqrteLUT[671] = 16'hf252;
831
RsqrteLUT[672] = 16'hf24f;
832
RsqrteLUT[673] = 16'hf24c;
833
RsqrteLUT[674] = 16'hf249;
834
RsqrteLUT[675] = 16'hf246;
835
RsqrteLUT[676] = 16'hf243;
836
RsqrteLUT[677] = 16'hf240;
837
RsqrteLUT[678] = 16'hf23d;
838
RsqrteLUT[679] = 16'hf23a;
839
RsqrteLUT[680] = 16'hf238;
840
RsqrteLUT[681] = 16'hf235;
841
RsqrteLUT[682] = 16'hf232;
842
RsqrteLUT[683] = 16'hf22f;
843
RsqrteLUT[684] = 16'hf22d;
844
RsqrteLUT[685] = 16'hf22a;
845
RsqrteLUT[686] = 16'hf228;
846
RsqrteLUT[687] = 16'hf225;
847
RsqrteLUT[688] = 16'hf223;
848
RsqrteLUT[689] = 16'hf220;
849
RsqrteLUT[690] = 16'hf21e;
850
RsqrteLUT[691] = 16'hf21c;
851
RsqrteLUT[692] = 16'hf219;
852
RsqrteLUT[693] = 16'hf217;
853
RsqrteLUT[694] = 16'hf215;
854
RsqrteLUT[695] = 16'hf213;
855
RsqrteLUT[696] = 16'hf210;
856
RsqrteLUT[697] = 16'hf20e;
857
RsqrteLUT[698] = 16'hf20c;
858
RsqrteLUT[699] = 16'hf20a;
859
RsqrteLUT[700] = 16'hf208;
860
RsqrteLUT[701] = 16'hf206;
861
RsqrteLUT[702] = 16'hf204;
862
RsqrteLUT[703] = 16'hf202;
863
RsqrteLUT[704] = 16'hf200;
864
RsqrteLUT[705] = 16'hf1f8;
865
RsqrteLUT[706] = 16'hf1f0;
866
RsqrteLUT[707] = 16'hf1e8;
867
RsqrteLUT[708] = 16'hf1e1;
868
RsqrteLUT[709] = 16'hf1da;
869
RsqrteLUT[710] = 16'hf1d3;
870
RsqrteLUT[711] = 16'hf1cc;
871
RsqrteLUT[712] = 16'hf1c5;
872
RsqrteLUT[713] = 16'hf1be;
873
RsqrteLUT[714] = 16'hf1b8;
874
RsqrteLUT[715] = 16'hf1b1;
875
RsqrteLUT[716] = 16'hf1ab;
876
RsqrteLUT[717] = 16'hf1a5;
877
RsqrteLUT[718] = 16'hf19f;
878
RsqrteLUT[719] = 16'hf199;
879
RsqrteLUT[720] = 16'hf193;
880
RsqrteLUT[721] = 16'hf18e;
881
RsqrteLUT[722] = 16'hf188;
882
RsqrteLUT[723] = 16'hf183;
883
RsqrteLUT[724] = 16'hf17d;
884
RsqrteLUT[725] = 16'hf178;
885
RsqrteLUT[726] = 16'hf173;
886
RsqrteLUT[727] = 16'hf16e;
887
RsqrteLUT[728] = 16'hf169;
888
RsqrteLUT[729] = 16'hf164;
889
RsqrteLUT[730] = 16'hf15f;
890
RsqrteLUT[731] = 16'hf15a;
891
RsqrteLUT[732] = 16'hf156;
892
RsqrteLUT[733] = 16'hf151;
893
RsqrteLUT[734] = 16'hf14c;
894
RsqrteLUT[735] = 16'hf148;
895
RsqrteLUT[736] = 16'hf144;
896
RsqrteLUT[737] = 16'hf13f;
897
RsqrteLUT[738] = 16'hf13b;
898
RsqrteLUT[739] = 16'hf137;
899
RsqrteLUT[740] = 16'hf133;
900
RsqrteLUT[741] = 16'hf12f;
901
RsqrteLUT[742] = 16'hf12b;
902
RsqrteLUT[743] = 16'hf127;
903
RsqrteLUT[744] = 16'hf123;
904
RsqrteLUT[745] = 16'hf11f;
905
RsqrteLUT[746] = 16'hf11b;
906
RsqrteLUT[747] = 16'hf117;
907
RsqrteLUT[748] = 16'hf114;
908
RsqrteLUT[749] = 16'hf110;
909
RsqrteLUT[750] = 16'hf10d;
910
RsqrteLUT[751] = 16'hf109;
911
RsqrteLUT[752] = 16'hf106;
912
RsqrteLUT[753] = 16'hf102;
913
RsqrteLUT[754] = 16'hf0ff;
914
RsqrteLUT[755] = 16'hf0fb;
915
RsqrteLUT[756] = 16'hf0f8;
916
RsqrteLUT[757] = 16'hf0f5;
917
RsqrteLUT[758] = 16'hf0f2;
918
RsqrteLUT[759] = 16'hf0ee;
919
RsqrteLUT[760] = 16'hf0eb;
920
RsqrteLUT[761] = 16'hf0e8;
921
RsqrteLUT[762] = 16'hf0e5;
922
RsqrteLUT[763] = 16'hf0e2;
923
RsqrteLUT[764] = 16'hf0df;
924
RsqrteLUT[765] = 16'hf0dc;
925
RsqrteLUT[766] = 16'hf0d9;
926
RsqrteLUT[767] = 16'hf0d6;
927
RsqrteLUT[768] = 16'hf0d4;
928
RsqrteLUT[769] = 16'hf0ce;
929
RsqrteLUT[770] = 16'hf0c9;
930
RsqrteLUT[771] = 16'hf0c3;
931
RsqrteLUT[772] = 16'hf0be;
932
RsqrteLUT[773] = 16'hf0b9;
933
RsqrteLUT[774] = 16'hf0b4;
934
RsqrteLUT[775] = 16'hf0af;
935
RsqrteLUT[776] = 16'hf0aa;
936
RsqrteLUT[777] = 16'hf0a5;
937
RsqrteLUT[778] = 16'hf0a1;
938
RsqrteLUT[779] = 16'hf09c;
939
RsqrteLUT[780] = 16'hf098;
940
RsqrteLUT[781] = 16'hf094;
941
RsqrteLUT[782] = 16'hf08f;
942
RsqrteLUT[783] = 16'hf08b;
943
RsqrteLUT[784] = 16'hf087;
944
RsqrteLUT[785] = 16'hf083;
945
RsqrteLUT[786] = 16'hf07f;
946
RsqrteLUT[787] = 16'hf07b;
947
RsqrteLUT[788] = 16'hf078;
948
RsqrteLUT[789] = 16'hf074;
949
RsqrteLUT[790] = 16'hf070;
950
RsqrteLUT[791] = 16'hf06d;
951
RsqrteLUT[792] = 16'hf069;
952
RsqrteLUT[793] = 16'hf066;
953
RsqrteLUT[794] = 16'hf062;
954
RsqrteLUT[795] = 16'hf05f;
955
RsqrteLUT[796] = 16'hf05b;
956
RsqrteLUT[797] = 16'hf058;
957
RsqrteLUT[798] = 16'hf055;
958
RsqrteLUT[799] = 16'hf052;
959
RsqrteLUT[800] = 16'hf04f;
960
RsqrteLUT[801] = 16'hf04c;
961
RsqrteLUT[802] = 16'hf049;
962
RsqrteLUT[803] = 16'hf046;
963
RsqrteLUT[804] = 16'hf043;
964
RsqrteLUT[805] = 16'hf040;
965
RsqrteLUT[806] = 16'hf03d;
966
RsqrteLUT[807] = 16'hf03a;
967
RsqrteLUT[808] = 16'hf038;
968
RsqrteLUT[809] = 16'hf035;
969
RsqrteLUT[810] = 16'hf032;
970
RsqrteLUT[811] = 16'hf02f;
971
RsqrteLUT[812] = 16'hf02d;
972
RsqrteLUT[813] = 16'hf02a;
973
RsqrteLUT[814] = 16'hf028;
974
RsqrteLUT[815] = 16'hf025;
975
RsqrteLUT[816] = 16'hf023;
976
RsqrteLUT[817] = 16'hf020;
977
RsqrteLUT[818] = 16'hf01e;
978
RsqrteLUT[819] = 16'hf01c;
979
RsqrteLUT[820] = 16'hf019;
980
RsqrteLUT[821] = 16'hf017;
981
RsqrteLUT[822] = 16'hf015;
982
RsqrteLUT[823] = 16'hf013;
983
RsqrteLUT[824] = 16'hf010;
984
RsqrteLUT[825] = 16'hf00e;
985
RsqrteLUT[826] = 16'hf00c;
986
RsqrteLUT[827] = 16'hf00a;
987
RsqrteLUT[828] = 16'hf008;
988
RsqrteLUT[829] = 16'hf006;
989
RsqrteLUT[830] = 16'hf004;
990
RsqrteLUT[831] = 16'hf002;
991
RsqrteLUT[832] = 16'hf000;
992
RsqrteLUT[833] = 16'heff8;
993
RsqrteLUT[834] = 16'heff0;
994
RsqrteLUT[835] = 16'hefe8;
995
RsqrteLUT[836] = 16'hefe1;
996
RsqrteLUT[837] = 16'hefda;
997
RsqrteLUT[838] = 16'hefd3;
998
RsqrteLUT[839] = 16'hefcc;
999
RsqrteLUT[840] = 16'hefc5;
1000
RsqrteLUT[841] = 16'hefbe;
1001
RsqrteLUT[842] = 16'hefb8;
1002
RsqrteLUT[843] = 16'hefb1;
1003
RsqrteLUT[844] = 16'hefab;
1004
RsqrteLUT[845] = 16'hefa5;
1005
RsqrteLUT[846] = 16'hef9f;
1006
RsqrteLUT[847] = 16'hef99;
1007
RsqrteLUT[848] = 16'hef93;
1008
RsqrteLUT[849] = 16'hef8e;
1009
RsqrteLUT[850] = 16'hef88;
1010
RsqrteLUT[851] = 16'hef83;
1011
RsqrteLUT[852] = 16'hef7d;
1012
RsqrteLUT[853] = 16'hef78;
1013
RsqrteLUT[854] = 16'hef73;
1014
RsqrteLUT[855] = 16'hef6e;
1015
RsqrteLUT[856] = 16'hef69;
1016
RsqrteLUT[857] = 16'hef64;
1017
RsqrteLUT[858] = 16'hef5f;
1018
RsqrteLUT[859] = 16'hef5a;
1019
RsqrteLUT[860] = 16'hef56;
1020
RsqrteLUT[861] = 16'hef51;
1021
RsqrteLUT[862] = 16'hef4c;
1022
RsqrteLUT[863] = 16'hef48;
1023
RsqrteLUT[864] = 16'hef44;
1024
RsqrteLUT[865] = 16'hef3f;
1025
RsqrteLUT[866] = 16'hef3b;
1026
RsqrteLUT[867] = 16'hef37;
1027
RsqrteLUT[868] = 16'hef33;
1028
RsqrteLUT[869] = 16'hef2f;
1029
RsqrteLUT[870] = 16'hef2b;
1030
RsqrteLUT[871] = 16'hef27;
1031
RsqrteLUT[872] = 16'hef23;
1032
RsqrteLUT[873] = 16'hef1f;
1033
RsqrteLUT[874] = 16'hef1b;
1034
RsqrteLUT[875] = 16'hef17;
1035
RsqrteLUT[876] = 16'hef14;
1036
RsqrteLUT[877] = 16'hef10;
1037
RsqrteLUT[878] = 16'hef0d;
1038
RsqrteLUT[879] = 16'hef09;
1039
RsqrteLUT[880] = 16'hef06;
1040
RsqrteLUT[881] = 16'hef02;
1041
RsqrteLUT[882] = 16'heeff;
1042
RsqrteLUT[883] = 16'heefb;
1043
RsqrteLUT[884] = 16'heef8;
1044
RsqrteLUT[885] = 16'heef5;
1045
RsqrteLUT[886] = 16'heef2;
1046
RsqrteLUT[887] = 16'heeee;
1047
RsqrteLUT[888] = 16'heeeb;
1048
RsqrteLUT[889] = 16'heee8;
1049
RsqrteLUT[890] = 16'heee5;
1050
RsqrteLUT[891] = 16'heee2;
1051
RsqrteLUT[892] = 16'heedf;
1052
RsqrteLUT[893] = 16'heedc;
1053
RsqrteLUT[894] = 16'heed9;
1054
RsqrteLUT[895] = 16'heed6;
1055
RsqrteLUT[896] = 16'heed4;
1056
RsqrteLUT[897] = 16'heece;
1057
RsqrteLUT[898] = 16'heec9;
1058
RsqrteLUT[899] = 16'heec3;
1059
RsqrteLUT[900] = 16'heebe;
1060
RsqrteLUT[901] = 16'heeb9;
1061
RsqrteLUT[902] = 16'heeb4;
1062
RsqrteLUT[903] = 16'heeaf;
1063
RsqrteLUT[904] = 16'heeaa;
1064
RsqrteLUT[905] = 16'heea5;
1065
RsqrteLUT[906] = 16'heea1;
1066
RsqrteLUT[907] = 16'hee9c;
1067
RsqrteLUT[908] = 16'hee98;
1068
RsqrteLUT[909] = 16'hee94;
1069
RsqrteLUT[910] = 16'hee8f;
1070
RsqrteLUT[911] = 16'hee8b;
1071
RsqrteLUT[912] = 16'hee87;
1072
RsqrteLUT[913] = 16'hee83;
1073
RsqrteLUT[914] = 16'hee7f;
1074
RsqrteLUT[915] = 16'hee7b;
1075
RsqrteLUT[916] = 16'hee78;
1076
RsqrteLUT[917] = 16'hee74;
1077
RsqrteLUT[918] = 16'hee70;
1078
RsqrteLUT[919] = 16'hee6d;
1079
RsqrteLUT[920] = 16'hee69;
1080
RsqrteLUT[921] = 16'hee66;
1081
RsqrteLUT[922] = 16'hee62;
1082
RsqrteLUT[923] = 16'hee5f;
1083
RsqrteLUT[924] = 16'hee5b;
1084
RsqrteLUT[925] = 16'hee58;
1085
RsqrteLUT[926] = 16'hee55;
1086
RsqrteLUT[927] = 16'hee52;
1087
RsqrteLUT[928] = 16'hee4f;
1088
RsqrteLUT[929] = 16'hee4c;
1089
RsqrteLUT[930] = 16'hee49;
1090
RsqrteLUT[931] = 16'hee46;
1091
RsqrteLUT[932] = 16'hee43;
1092
RsqrteLUT[933] = 16'hee40;
1093
RsqrteLUT[934] = 16'hee3d;
1094
RsqrteLUT[935] = 16'hee3a;
1095
RsqrteLUT[936] = 16'hee38;
1096
RsqrteLUT[937] = 16'hee35;
1097
RsqrteLUT[938] = 16'hee32;
1098
RsqrteLUT[939] = 16'hee2f;
1099
RsqrteLUT[940] = 16'hee2d;
1100
RsqrteLUT[941] = 16'hee2a;
1101
RsqrteLUT[942] = 16'hee28;
1102
RsqrteLUT[943] = 16'hee25;
1103
RsqrteLUT[944] = 16'hee23;
1104
RsqrteLUT[945] = 16'hee20;
1105
RsqrteLUT[946] = 16'hee1e;
1106
RsqrteLUT[947] = 16'hee1c;
1107
RsqrteLUT[948] = 16'hee19;
1108
RsqrteLUT[949] = 16'hee17;
1109
RsqrteLUT[950] = 16'hee15;
1110
RsqrteLUT[951] = 16'hee13;
1111
RsqrteLUT[952] = 16'hee10;
1112
RsqrteLUT[953] = 16'hee0e;
1113
RsqrteLUT[954] = 16'hee0c;
1114
RsqrteLUT[955] = 16'hee0a;
1115
RsqrteLUT[956] = 16'hee08;
1116
RsqrteLUT[957] = 16'hee06;
1117
RsqrteLUT[958] = 16'hee04;
1118
RsqrteLUT[959] = 16'hee02;
1119
RsqrteLUT[960] = 16'hee00;
1120
RsqrteLUT[961] = 16'hedf8;
1121
RsqrteLUT[962] = 16'hedf0;
1122
RsqrteLUT[963] = 16'hede8;
1123
RsqrteLUT[964] = 16'hede1;
1124
RsqrteLUT[965] = 16'hedda;
1125
RsqrteLUT[966] = 16'hedd3;
1126
RsqrteLUT[967] = 16'hedcc;
1127
RsqrteLUT[968] = 16'hedc5;
1128
RsqrteLUT[969] = 16'hedbe;
1129
RsqrteLUT[970] = 16'hedb8;
1130
RsqrteLUT[971] = 16'hedb1;
1131
RsqrteLUT[972] = 16'hedab;
1132
RsqrteLUT[973] = 16'heda5;
1133
RsqrteLUT[974] = 16'hed9f;
1134
RsqrteLUT[975] = 16'hed99;
1135
RsqrteLUT[976] = 16'hed93;
1136
RsqrteLUT[977] = 16'hed8e;
1137
RsqrteLUT[978] = 16'hed88;
1138
RsqrteLUT[979] = 16'hed83;
1139
RsqrteLUT[980] = 16'hed7d;
1140
RsqrteLUT[981] = 16'hed78;
1141
RsqrteLUT[982] = 16'hed73;
1142
RsqrteLUT[983] = 16'hed6e;
1143
RsqrteLUT[984] = 16'hed69;
1144
RsqrteLUT[985] = 16'hed64;
1145
RsqrteLUT[986] = 16'hed5f;
1146
RsqrteLUT[987] = 16'hed5a;
1147
RsqrteLUT[988] = 16'hed56;
1148
RsqrteLUT[989] = 16'hed51;
1149
RsqrteLUT[990] = 16'hed4c;
1150
RsqrteLUT[991] = 16'hed48;
1151
RsqrteLUT[992] = 16'hed44;
1152
RsqrteLUT[993] = 16'hed3f;
1153
RsqrteLUT[994] = 16'hed3b;
1154
RsqrteLUT[995] = 16'hed37;
1155
RsqrteLUT[996] = 16'hed33;
1156
RsqrteLUT[997] = 16'hed2f;
1157
RsqrteLUT[998] = 16'hed2b;
1158
RsqrteLUT[999] = 16'hed27;
1159
RsqrteLUT[1000] = 16'hed23;
1160
RsqrteLUT[1001] = 16'hed1f;
1161
RsqrteLUT[1002] = 16'hed1b;
1162
RsqrteLUT[1003] = 16'hed17;
1163
RsqrteLUT[1004] = 16'hed14;
1164
RsqrteLUT[1005] = 16'hed10;
1165
RsqrteLUT[1006] = 16'hed0d;
1166
RsqrteLUT[1007] = 16'hed09;
1167
RsqrteLUT[1008] = 16'hed06;
1168
RsqrteLUT[1009] = 16'hed02;
1169
RsqrteLUT[1010] = 16'hecff;
1170
RsqrteLUT[1011] = 16'hecfb;
1171
RsqrteLUT[1012] = 16'hecf8;
1172
RsqrteLUT[1013] = 16'hecf5;
1173
RsqrteLUT[1014] = 16'hecf2;
1174
RsqrteLUT[1015] = 16'hecee;
1175
RsqrteLUT[1016] = 16'heceb;
1176
RsqrteLUT[1017] = 16'hece8;
1177
RsqrteLUT[1018] = 16'hece5;
1178
RsqrteLUT[1019] = 16'hece2;
1179
RsqrteLUT[1020] = 16'hecdf;
1180
RsqrteLUT[1021] = 16'hecdc;
1181
RsqrteLUT[1022] = 16'hecd9;
1182
RsqrteLUT[1023] = 16'hecd6;
1183
RsqrteLUT[1024] = 16'hecd4;
1184
RsqrteLUT[1025] = 16'hecce;
1185
RsqrteLUT[1026] = 16'hecc9;
1186
RsqrteLUT[1027] = 16'hecc3;
1187
RsqrteLUT[1028] = 16'hecbe;
1188
RsqrteLUT[1029] = 16'hecb9;
1189
RsqrteLUT[1030] = 16'hecb4;
1190
RsqrteLUT[1031] = 16'hecaf;
1191
RsqrteLUT[1032] = 16'hecaa;
1192
RsqrteLUT[1033] = 16'heca5;
1193
RsqrteLUT[1034] = 16'heca1;
1194
RsqrteLUT[1035] = 16'hec9c;
1195
RsqrteLUT[1036] = 16'hec98;
1196
RsqrteLUT[1037] = 16'hec94;
1197
RsqrteLUT[1038] = 16'hec8f;
1198
RsqrteLUT[1039] = 16'hec8b;
1199
RsqrteLUT[1040] = 16'hec87;
1200
RsqrteLUT[1041] = 16'hec83;
1201
RsqrteLUT[1042] = 16'hec7f;
1202
RsqrteLUT[1043] = 16'hec7b;
1203
RsqrteLUT[1044] = 16'hec78;
1204
RsqrteLUT[1045] = 16'hec74;
1205
RsqrteLUT[1046] = 16'hec70;
1206
RsqrteLUT[1047] = 16'hec6d;
1207
RsqrteLUT[1048] = 16'hec69;
1208
RsqrteLUT[1049] = 16'hec66;
1209
RsqrteLUT[1050] = 16'hec62;
1210
RsqrteLUT[1051] = 16'hec5f;
1211
RsqrteLUT[1052] = 16'hec5b;
1212
RsqrteLUT[1053] = 16'hec58;
1213
RsqrteLUT[1054] = 16'hec55;
1214
RsqrteLUT[1055] = 16'hec52;
1215
RsqrteLUT[1056] = 16'hec4f;
1216
RsqrteLUT[1057] = 16'hec4c;
1217
RsqrteLUT[1058] = 16'hec49;
1218
RsqrteLUT[1059] = 16'hec46;
1219
RsqrteLUT[1060] = 16'hec43;
1220
RsqrteLUT[1061] = 16'hec40;
1221
RsqrteLUT[1062] = 16'hec3d;
1222
RsqrteLUT[1063] = 16'hec3a;
1223
RsqrteLUT[1064] = 16'hec38;
1224
RsqrteLUT[1065] = 16'hec35;
1225
RsqrteLUT[1066] = 16'hec32;
1226
RsqrteLUT[1067] = 16'hec2f;
1227
RsqrteLUT[1068] = 16'hec2d;
1228
RsqrteLUT[1069] = 16'hec2a;
1229
RsqrteLUT[1070] = 16'hec28;
1230
RsqrteLUT[1071] = 16'hec25;
1231
RsqrteLUT[1072] = 16'hec23;
1232
RsqrteLUT[1073] = 16'hec20;
1233
RsqrteLUT[1074] = 16'hec1e;
1234
RsqrteLUT[1075] = 16'hec1c;
1235
RsqrteLUT[1076] = 16'hec19;
1236
RsqrteLUT[1077] = 16'hec17;
1237
RsqrteLUT[1078] = 16'hec15;
1238
RsqrteLUT[1079] = 16'hec13;
1239
RsqrteLUT[1080] = 16'hec10;
1240
RsqrteLUT[1081] = 16'hec0e;
1241
RsqrteLUT[1082] = 16'hec0c;
1242
RsqrteLUT[1083] = 16'hec0a;
1243
RsqrteLUT[1084] = 16'hec08;
1244
RsqrteLUT[1085] = 16'hec06;
1245
RsqrteLUT[1086] = 16'hec04;
1246
RsqrteLUT[1087] = 16'hec02;
1247
RsqrteLUT[1088] = 16'hec00;
1248
RsqrteLUT[1089] = 16'hebf8;
1249
RsqrteLUT[1090] = 16'hebf0;
1250
RsqrteLUT[1091] = 16'hebe8;
1251
RsqrteLUT[1092] = 16'hebe1;
1252
RsqrteLUT[1093] = 16'hebda;
1253
RsqrteLUT[1094] = 16'hebd3;
1254
RsqrteLUT[1095] = 16'hebcc;
1255
RsqrteLUT[1096] = 16'hebc5;
1256
RsqrteLUT[1097] = 16'hebbe;
1257
RsqrteLUT[1098] = 16'hebb8;
1258
RsqrteLUT[1099] = 16'hebb1;
1259
RsqrteLUT[1100] = 16'hebab;
1260
RsqrteLUT[1101] = 16'heba5;
1261
RsqrteLUT[1102] = 16'heb9f;
1262
RsqrteLUT[1103] = 16'heb99;
1263
RsqrteLUT[1104] = 16'heb93;
1264
RsqrteLUT[1105] = 16'heb8e;
1265
RsqrteLUT[1106] = 16'heb88;
1266
RsqrteLUT[1107] = 16'heb83;
1267
RsqrteLUT[1108] = 16'heb7d;
1268
RsqrteLUT[1109] = 16'heb78;
1269
RsqrteLUT[1110] = 16'heb73;
1270
RsqrteLUT[1111] = 16'heb6e;
1271
RsqrteLUT[1112] = 16'heb69;
1272
RsqrteLUT[1113] = 16'heb64;
1273
RsqrteLUT[1114] = 16'heb5f;
1274
RsqrteLUT[1115] = 16'heb5a;
1275
RsqrteLUT[1116] = 16'heb56;
1276
RsqrteLUT[1117] = 16'heb51;
1277
RsqrteLUT[1118] = 16'heb4c;
1278
RsqrteLUT[1119] = 16'heb48;
1279
RsqrteLUT[1120] = 16'heb44;
1280
RsqrteLUT[1121] = 16'heb3f;
1281
RsqrteLUT[1122] = 16'heb3b;
1282
RsqrteLUT[1123] = 16'heb37;
1283
RsqrteLUT[1124] = 16'heb33;
1284
RsqrteLUT[1125] = 16'heb2f;
1285
RsqrteLUT[1126] = 16'heb2b;
1286
RsqrteLUT[1127] = 16'heb27;
1287
RsqrteLUT[1128] = 16'heb23;
1288
RsqrteLUT[1129] = 16'heb1f;
1289
RsqrteLUT[1130] = 16'heb1b;
1290
RsqrteLUT[1131] = 16'heb17;
1291
RsqrteLUT[1132] = 16'heb14;
1292
RsqrteLUT[1133] = 16'heb10;
1293
RsqrteLUT[1134] = 16'heb0d;
1294
RsqrteLUT[1135] = 16'heb09;
1295
RsqrteLUT[1136] = 16'heb06;
1296
RsqrteLUT[1137] = 16'heb02;
1297
RsqrteLUT[1138] = 16'heaff;
1298
RsqrteLUT[1139] = 16'heafb;
1299
RsqrteLUT[1140] = 16'heaf8;
1300
RsqrteLUT[1141] = 16'heaf5;
1301
RsqrteLUT[1142] = 16'heaf2;
1302
RsqrteLUT[1143] = 16'heaee;
1303
RsqrteLUT[1144] = 16'heaeb;
1304
RsqrteLUT[1145] = 16'heae8;
1305
RsqrteLUT[1146] = 16'heae5;
1306
RsqrteLUT[1147] = 16'heae2;
1307
RsqrteLUT[1148] = 16'headf;
1308
RsqrteLUT[1149] = 16'headc;
1309
RsqrteLUT[1150] = 16'head9;
1310
RsqrteLUT[1151] = 16'head6;
1311
RsqrteLUT[1152] = 16'head4;
1312
RsqrteLUT[1153] = 16'heace;
1313
RsqrteLUT[1154] = 16'heac9;
1314
RsqrteLUT[1155] = 16'heac3;
1315
RsqrteLUT[1156] = 16'heabe;
1316
RsqrteLUT[1157] = 16'heab9;
1317
RsqrteLUT[1158] = 16'heab4;
1318
RsqrteLUT[1159] = 16'heaaf;
1319
RsqrteLUT[1160] = 16'heaaa;
1320
RsqrteLUT[1161] = 16'heaa5;
1321
RsqrteLUT[1162] = 16'heaa1;
1322
RsqrteLUT[1163] = 16'hea9c;
1323
RsqrteLUT[1164] = 16'hea98;
1324
RsqrteLUT[1165] = 16'hea94;
1325
RsqrteLUT[1166] = 16'hea8f;
1326
RsqrteLUT[1167] = 16'hea8b;
1327
RsqrteLUT[1168] = 16'hea87;
1328
RsqrteLUT[1169] = 16'hea83;
1329
RsqrteLUT[1170] = 16'hea7f;
1330
RsqrteLUT[1171] = 16'hea7b;
1331
RsqrteLUT[1172] = 16'hea78;
1332
RsqrteLUT[1173] = 16'hea74;
1333
RsqrteLUT[1174] = 16'hea70;
1334
RsqrteLUT[1175] = 16'hea6d;
1335
RsqrteLUT[1176] = 16'hea69;
1336
RsqrteLUT[1177] = 16'hea66;
1337
RsqrteLUT[1178] = 16'hea62;
1338
RsqrteLUT[1179] = 16'hea5f;
1339
RsqrteLUT[1180] = 16'hea5b;
1340
RsqrteLUT[1181] = 16'hea58;
1341
RsqrteLUT[1182] = 16'hea55;
1342
RsqrteLUT[1183] = 16'hea52;
1343
RsqrteLUT[1184] = 16'hea4f;
1344
RsqrteLUT[1185] = 16'hea4c;
1345
RsqrteLUT[1186] = 16'hea49;
1346
RsqrteLUT[1187] = 16'hea46;
1347
RsqrteLUT[1188] = 16'hea43;
1348
RsqrteLUT[1189] = 16'hea40;
1349
RsqrteLUT[1190] = 16'hea3d;
1350
RsqrteLUT[1191] = 16'hea3a;
1351
RsqrteLUT[1192] = 16'hea38;
1352
RsqrteLUT[1193] = 16'hea35;
1353
RsqrteLUT[1194] = 16'hea32;
1354
RsqrteLUT[1195] = 16'hea2f;
1355
RsqrteLUT[1196] = 16'hea2d;
1356
RsqrteLUT[1197] = 16'hea2a;
1357
RsqrteLUT[1198] = 16'hea28;
1358
RsqrteLUT[1199] = 16'hea25;
1359
RsqrteLUT[1200] = 16'hea23;
1360
RsqrteLUT[1201] = 16'hea20;
1361
RsqrteLUT[1202] = 16'hea1e;
1362
RsqrteLUT[1203] = 16'hea1c;
1363
RsqrteLUT[1204] = 16'hea19;
1364
RsqrteLUT[1205] = 16'hea17;
1365
RsqrteLUT[1206] = 16'hea15;
1366
RsqrteLUT[1207] = 16'hea13;
1367
RsqrteLUT[1208] = 16'hea10;
1368
RsqrteLUT[1209] = 16'hea0e;
1369
RsqrteLUT[1210] = 16'hea0c;
1370
RsqrteLUT[1211] = 16'hea0a;
1371
RsqrteLUT[1212] = 16'hea08;
1372
RsqrteLUT[1213] = 16'hea06;
1373
RsqrteLUT[1214] = 16'hea04;
1374
RsqrteLUT[1215] = 16'hea02;
1375
RsqrteLUT[1216] = 16'hea00;
1376
RsqrteLUT[1217] = 16'he9f8;
1377
RsqrteLUT[1218] = 16'he9f0;
1378
RsqrteLUT[1219] = 16'he9e8;
1379
RsqrteLUT[1220] = 16'he9e1;
1380
RsqrteLUT[1221] = 16'he9da;
1381
RsqrteLUT[1222] = 16'he9d3;
1382
RsqrteLUT[1223] = 16'he9cc;
1383
RsqrteLUT[1224] = 16'he9c5;
1384
RsqrteLUT[1225] = 16'he9be;
1385
RsqrteLUT[1226] = 16'he9b8;
1386
RsqrteLUT[1227] = 16'he9b1;
1387
RsqrteLUT[1228] = 16'he9ab;
1388
RsqrteLUT[1229] = 16'he9a5;
1389
RsqrteLUT[1230] = 16'he99f;
1390
RsqrteLUT[1231] = 16'he999;
1391
RsqrteLUT[1232] = 16'he993;
1392
RsqrteLUT[1233] = 16'he98e;
1393
RsqrteLUT[1234] = 16'he988;
1394
RsqrteLUT[1235] = 16'he983;
1395
RsqrteLUT[1236] = 16'he97d;
1396
RsqrteLUT[1237] = 16'he978;
1397
RsqrteLUT[1238] = 16'he973;
1398
RsqrteLUT[1239] = 16'he96e;
1399
RsqrteLUT[1240] = 16'he969;
1400
RsqrteLUT[1241] = 16'he964;
1401
RsqrteLUT[1242] = 16'he95f;
1402
RsqrteLUT[1243] = 16'he95a;
1403
RsqrteLUT[1244] = 16'he956;
1404
RsqrteLUT[1245] = 16'he951;
1405
RsqrteLUT[1246] = 16'he94c;
1406
RsqrteLUT[1247] = 16'he948;
1407
RsqrteLUT[1248] = 16'he944;
1408
RsqrteLUT[1249] = 16'he93f;
1409
RsqrteLUT[1250] = 16'he93b;
1410
RsqrteLUT[1251] = 16'he937;
1411
RsqrteLUT[1252] = 16'he933;
1412
RsqrteLUT[1253] = 16'he92f;
1413
RsqrteLUT[1254] = 16'he92b;
1414
RsqrteLUT[1255] = 16'he927;
1415
RsqrteLUT[1256] = 16'he923;
1416
RsqrteLUT[1257] = 16'he91f;
1417
RsqrteLUT[1258] = 16'he91b;
1418
RsqrteLUT[1259] = 16'he917;
1419
RsqrteLUT[1260] = 16'he914;
1420
RsqrteLUT[1261] = 16'he910;
1421
RsqrteLUT[1262] = 16'he90d;
1422
RsqrteLUT[1263] = 16'he909;
1423
RsqrteLUT[1264] = 16'he906;
1424
RsqrteLUT[1265] = 16'he902;
1425
RsqrteLUT[1266] = 16'he8ff;
1426
RsqrteLUT[1267] = 16'he8fb;
1427
RsqrteLUT[1268] = 16'he8f8;
1428
RsqrteLUT[1269] = 16'he8f5;
1429
RsqrteLUT[1270] = 16'he8f2;
1430
RsqrteLUT[1271] = 16'he8ee;
1431
RsqrteLUT[1272] = 16'he8eb;
1432
RsqrteLUT[1273] = 16'he8e8;
1433
RsqrteLUT[1274] = 16'he8e5;
1434
RsqrteLUT[1275] = 16'he8e2;
1435
RsqrteLUT[1276] = 16'he8df;
1436
RsqrteLUT[1277] = 16'he8dc;
1437
RsqrteLUT[1278] = 16'he8d9;
1438
RsqrteLUT[1279] = 16'he8d6;
1439
RsqrteLUT[1280] = 16'he8d4;
1440
RsqrteLUT[1281] = 16'he8ce;
1441
RsqrteLUT[1282] = 16'he8c9;
1442
RsqrteLUT[1283] = 16'he8c3;
1443
RsqrteLUT[1284] = 16'he8be;
1444
RsqrteLUT[1285] = 16'he8b9;
1445
RsqrteLUT[1286] = 16'he8b4;
1446
RsqrteLUT[1287] = 16'he8af;
1447
RsqrteLUT[1288] = 16'he8aa;
1448
RsqrteLUT[1289] = 16'he8a5;
1449
RsqrteLUT[1290] = 16'he8a1;
1450
RsqrteLUT[1291] = 16'he89c;
1451
RsqrteLUT[1292] = 16'he898;
1452
RsqrteLUT[1293] = 16'he894;
1453
RsqrteLUT[1294] = 16'he88f;
1454
RsqrteLUT[1295] = 16'he88b;
1455
RsqrteLUT[1296] = 16'he887;
1456
RsqrteLUT[1297] = 16'he883;
1457
RsqrteLUT[1298] = 16'he87f;
1458
RsqrteLUT[1299] = 16'he87b;
1459
RsqrteLUT[1300] = 16'he878;
1460
RsqrteLUT[1301] = 16'he874;
1461
RsqrteLUT[1302] = 16'he870;
1462
RsqrteLUT[1303] = 16'he86d;
1463
RsqrteLUT[1304] = 16'he869;
1464
RsqrteLUT[1305] = 16'he866;
1465
RsqrteLUT[1306] = 16'he862;
1466
RsqrteLUT[1307] = 16'he85f;
1467
RsqrteLUT[1308] = 16'he85b;
1468
RsqrteLUT[1309] = 16'he858;
1469
RsqrteLUT[1310] = 16'he855;
1470
RsqrteLUT[1311] = 16'he852;
1471
RsqrteLUT[1312] = 16'he84f;
1472
RsqrteLUT[1313] = 16'he84c;
1473
RsqrteLUT[1314] = 16'he849;
1474
RsqrteLUT[1315] = 16'he846;
1475
RsqrteLUT[1316] = 16'he843;
1476
RsqrteLUT[1317] = 16'he840;
1477
RsqrteLUT[1318] = 16'he83d;
1478
RsqrteLUT[1319] = 16'he83a;
1479
RsqrteLUT[1320] = 16'he838;
1480
RsqrteLUT[1321] = 16'he835;
1481
RsqrteLUT[1322] = 16'he832;
1482
RsqrteLUT[1323] = 16'he82f;
1483
RsqrteLUT[1324] = 16'he82d;
1484
RsqrteLUT[1325] = 16'he82a;
1485
RsqrteLUT[1326] = 16'he828;
1486
RsqrteLUT[1327] = 16'he825;
1487
RsqrteLUT[1328] = 16'he823;
1488
RsqrteLUT[1329] = 16'he820;
1489
RsqrteLUT[1330] = 16'he81e;
1490
RsqrteLUT[1331] = 16'he81c;
1491
RsqrteLUT[1332] = 16'he819;
1492
RsqrteLUT[1333] = 16'he817;
1493
RsqrteLUT[1334] = 16'he815;
1494
RsqrteLUT[1335] = 16'he813;
1495
RsqrteLUT[1336] = 16'he810;
1496
RsqrteLUT[1337] = 16'he80e;
1497
RsqrteLUT[1338] = 16'he80c;
1498
RsqrteLUT[1339] = 16'he80a;
1499
RsqrteLUT[1340] = 16'he808;
1500
RsqrteLUT[1341] = 16'he806;
1501
RsqrteLUT[1342] = 16'he804;
1502
RsqrteLUT[1343] = 16'he802;
1503
RsqrteLUT[1344] = 16'he800;
1504
RsqrteLUT[1345] = 16'he7f8;
1505
RsqrteLUT[1346] = 16'he7f0;
1506
RsqrteLUT[1347] = 16'he7e8;
1507
RsqrteLUT[1348] = 16'he7e1;
1508
RsqrteLUT[1349] = 16'he7da;
1509
RsqrteLUT[1350] = 16'he7d3;
1510
RsqrteLUT[1351] = 16'he7cc;
1511
RsqrteLUT[1352] = 16'he7c5;
1512
RsqrteLUT[1353] = 16'he7be;
1513
RsqrteLUT[1354] = 16'he7b8;
1514
RsqrteLUT[1355] = 16'he7b1;
1515
RsqrteLUT[1356] = 16'he7ab;
1516
RsqrteLUT[1357] = 16'he7a5;
1517
RsqrteLUT[1358] = 16'he79f;
1518
RsqrteLUT[1359] = 16'he799;
1519
RsqrteLUT[1360] = 16'he793;
1520
RsqrteLUT[1361] = 16'he78e;
1521
RsqrteLUT[1362] = 16'he788;
1522
RsqrteLUT[1363] = 16'he783;
1523
RsqrteLUT[1364] = 16'he77d;
1524
RsqrteLUT[1365] = 16'he778;
1525
RsqrteLUT[1366] = 16'he773;
1526
RsqrteLUT[1367] = 16'he76e;
1527
RsqrteLUT[1368] = 16'he769;
1528
RsqrteLUT[1369] = 16'he764;
1529
RsqrteLUT[1370] = 16'he75f;
1530
RsqrteLUT[1371] = 16'he75a;
1531
RsqrteLUT[1372] = 16'he756;
1532
RsqrteLUT[1373] = 16'he751;
1533
RsqrteLUT[1374] = 16'he74c;
1534
RsqrteLUT[1375] = 16'he748;
1535
RsqrteLUT[1376] = 16'he744;
1536
RsqrteLUT[1377] = 16'he73f;
1537
RsqrteLUT[1378] = 16'he73b;
1538
RsqrteLUT[1379] = 16'he737;
1539
RsqrteLUT[1380] = 16'he733;
1540
RsqrteLUT[1381] = 16'he72f;
1541
RsqrteLUT[1382] = 16'he72b;
1542
RsqrteLUT[1383] = 16'he727;
1543
RsqrteLUT[1384] = 16'he723;
1544
RsqrteLUT[1385] = 16'he71f;
1545
RsqrteLUT[1386] = 16'he71b;
1546
RsqrteLUT[1387] = 16'he717;
1547
RsqrteLUT[1388] = 16'he714;
1548
RsqrteLUT[1389] = 16'he710;
1549
RsqrteLUT[1390] = 16'he70d;
1550
RsqrteLUT[1391] = 16'he709;
1551
RsqrteLUT[1392] = 16'he706;
1552
RsqrteLUT[1393] = 16'he702;
1553
RsqrteLUT[1394] = 16'he6ff;
1554
RsqrteLUT[1395] = 16'he6fb;
1555
RsqrteLUT[1396] = 16'he6f8;
1556
RsqrteLUT[1397] = 16'he6f5;
1557
RsqrteLUT[1398] = 16'he6f2;
1558
RsqrteLUT[1399] = 16'he6ee;
1559
RsqrteLUT[1400] = 16'he6eb;
1560
RsqrteLUT[1401] = 16'he6e8;
1561
RsqrteLUT[1402] = 16'he6e5;
1562
RsqrteLUT[1403] = 16'he6e2;
1563
RsqrteLUT[1404] = 16'he6df;
1564
RsqrteLUT[1405] = 16'he6dc;
1565
RsqrteLUT[1406] = 16'he6d9;
1566
RsqrteLUT[1407] = 16'he6d6;
1567
RsqrteLUT[1408] = 16'he6d4;
1568
RsqrteLUT[1409] = 16'he6ce;
1569
RsqrteLUT[1410] = 16'he6c9;
1570
RsqrteLUT[1411] = 16'he6c3;
1571
RsqrteLUT[1412] = 16'he6be;
1572
RsqrteLUT[1413] = 16'he6b9;
1573
RsqrteLUT[1414] = 16'he6b4;
1574
RsqrteLUT[1415] = 16'he6af;
1575
RsqrteLUT[1416] = 16'he6aa;
1576
RsqrteLUT[1417] = 16'he6a5;
1577
RsqrteLUT[1418] = 16'he6a1;
1578
RsqrteLUT[1419] = 16'he69c;
1579
RsqrteLUT[1420] = 16'he698;
1580
RsqrteLUT[1421] = 16'he694;
1581
RsqrteLUT[1422] = 16'he68f;
1582
RsqrteLUT[1423] = 16'he68b;
1583
RsqrteLUT[1424] = 16'he687;
1584
RsqrteLUT[1425] = 16'he683;
1585
RsqrteLUT[1426] = 16'he67f;
1586
RsqrteLUT[1427] = 16'he67b;
1587
RsqrteLUT[1428] = 16'he678;
1588
RsqrteLUT[1429] = 16'he674;
1589
RsqrteLUT[1430] = 16'he670;
1590
RsqrteLUT[1431] = 16'he66d;
1591
RsqrteLUT[1432] = 16'he669;
1592
RsqrteLUT[1433] = 16'he666;
1593
RsqrteLUT[1434] = 16'he662;
1594
RsqrteLUT[1435] = 16'he65f;
1595
RsqrteLUT[1436] = 16'he65b;
1596
RsqrteLUT[1437] = 16'he658;
1597
RsqrteLUT[1438] = 16'he655;
1598
RsqrteLUT[1439] = 16'he652;
1599
RsqrteLUT[1440] = 16'he64f;
1600
RsqrteLUT[1441] = 16'he64c;
1601
RsqrteLUT[1442] = 16'he649;
1602
RsqrteLUT[1443] = 16'he646;
1603
RsqrteLUT[1444] = 16'he643;
1604
RsqrteLUT[1445] = 16'he640;
1605
RsqrteLUT[1446] = 16'he63d;
1606
RsqrteLUT[1447] = 16'he63a;
1607
RsqrteLUT[1448] = 16'he638;
1608
RsqrteLUT[1449] = 16'he635;
1609
RsqrteLUT[1450] = 16'he632;
1610
RsqrteLUT[1451] = 16'he62f;
1611
RsqrteLUT[1452] = 16'he62d;
1612
RsqrteLUT[1453] = 16'he62a;
1613
RsqrteLUT[1454] = 16'he628;
1614
RsqrteLUT[1455] = 16'he625;
1615
RsqrteLUT[1456] = 16'he623;
1616
RsqrteLUT[1457] = 16'he620;
1617
RsqrteLUT[1458] = 16'he61e;
1618
RsqrteLUT[1459] = 16'he61c;
1619
RsqrteLUT[1460] = 16'he619;
1620
RsqrteLUT[1461] = 16'he617;
1621
RsqrteLUT[1462] = 16'he615;
1622
RsqrteLUT[1463] = 16'he613;
1623
RsqrteLUT[1464] = 16'he610;
1624
RsqrteLUT[1465] = 16'he60e;
1625
RsqrteLUT[1466] = 16'he60c;
1626
RsqrteLUT[1467] = 16'he60a;
1627
RsqrteLUT[1468] = 16'he608;
1628
RsqrteLUT[1469] = 16'he606;
1629
RsqrteLUT[1470] = 16'he604;
1630
RsqrteLUT[1471] = 16'he602;
1631
RsqrteLUT[1472] = 16'he600;
1632
RsqrteLUT[1473] = 16'he5f8;
1633
RsqrteLUT[1474] = 16'he5f0;
1634
RsqrteLUT[1475] = 16'he5e8;
1635
RsqrteLUT[1476] = 16'he5e1;
1636
RsqrteLUT[1477] = 16'he5da;
1637
RsqrteLUT[1478] = 16'he5d3;
1638
RsqrteLUT[1479] = 16'he5cc;
1639
RsqrteLUT[1480] = 16'he5c5;
1640
RsqrteLUT[1481] = 16'he5be;
1641
RsqrteLUT[1482] = 16'he5b8;
1642
RsqrteLUT[1483] = 16'he5b1;
1643
RsqrteLUT[1484] = 16'he5ab;
1644
RsqrteLUT[1485] = 16'he5a5;
1645
RsqrteLUT[1486] = 16'he59f;
1646
RsqrteLUT[1487] = 16'he599;
1647
RsqrteLUT[1488] = 16'he593;
1648
RsqrteLUT[1489] = 16'he58e;
1649
RsqrteLUT[1490] = 16'he588;
1650
RsqrteLUT[1491] = 16'he583;
1651
RsqrteLUT[1492] = 16'he57d;
1652
RsqrteLUT[1493] = 16'he578;
1653
RsqrteLUT[1494] = 16'he573;
1654
RsqrteLUT[1495] = 16'he56e;
1655
RsqrteLUT[1496] = 16'he569;
1656
RsqrteLUT[1497] = 16'he564;
1657
RsqrteLUT[1498] = 16'he55f;
1658
RsqrteLUT[1499] = 16'he55a;
1659
RsqrteLUT[1500] = 16'he556;
1660
RsqrteLUT[1501] = 16'he551;
1661
RsqrteLUT[1502] = 16'he54c;
1662
RsqrteLUT[1503] = 16'he548;
1663
RsqrteLUT[1504] = 16'he544;
1664
RsqrteLUT[1505] = 16'he53f;
1665
RsqrteLUT[1506] = 16'he53b;
1666
RsqrteLUT[1507] = 16'he537;
1667
RsqrteLUT[1508] = 16'he533;
1668
RsqrteLUT[1509] = 16'he52f;
1669
RsqrteLUT[1510] = 16'he52b;
1670
RsqrteLUT[1511] = 16'he527;
1671
RsqrteLUT[1512] = 16'he523;
1672
RsqrteLUT[1513] = 16'he51f;
1673
RsqrteLUT[1514] = 16'he51b;
1674
RsqrteLUT[1515] = 16'he517;
1675
RsqrteLUT[1516] = 16'he514;
1676
RsqrteLUT[1517] = 16'he510;
1677
RsqrteLUT[1518] = 16'he50d;
1678
RsqrteLUT[1519] = 16'he509;
1679
RsqrteLUT[1520] = 16'he506;
1680
RsqrteLUT[1521] = 16'he502;
1681
RsqrteLUT[1522] = 16'he4ff;
1682
RsqrteLUT[1523] = 16'he4fb;
1683
RsqrteLUT[1524] = 16'he4f8;
1684
RsqrteLUT[1525] = 16'he4f5;
1685
RsqrteLUT[1526] = 16'he4f2;
1686
RsqrteLUT[1527] = 16'he4ee;
1687
RsqrteLUT[1528] = 16'he4eb;
1688
RsqrteLUT[1529] = 16'he4e8;
1689
RsqrteLUT[1530] = 16'he4e5;
1690
RsqrteLUT[1531] = 16'he4e2;
1691
RsqrteLUT[1532] = 16'he4df;
1692
RsqrteLUT[1533] = 16'he4dc;
1693
RsqrteLUT[1534] = 16'he4d9;
1694
RsqrteLUT[1535] = 16'he4d6;
1695
RsqrteLUT[1536] = 16'he4d4;
1696
RsqrteLUT[1537] = 16'he4ce;
1697
RsqrteLUT[1538] = 16'he4c9;
1698
RsqrteLUT[1539] = 16'he4c3;
1699
RsqrteLUT[1540] = 16'he4be;
1700
RsqrteLUT[1541] = 16'he4b9;
1701
RsqrteLUT[1542] = 16'he4b4;
1702
RsqrteLUT[1543] = 16'he4af;
1703
RsqrteLUT[1544] = 16'he4aa;
1704
RsqrteLUT[1545] = 16'he4a5;
1705
RsqrteLUT[1546] = 16'he4a1;
1706
RsqrteLUT[1547] = 16'he49c;
1707
RsqrteLUT[1548] = 16'he498;
1708
RsqrteLUT[1549] = 16'he494;
1709
RsqrteLUT[1550] = 16'he48f;
1710
RsqrteLUT[1551] = 16'he48b;
1711
RsqrteLUT[1552] = 16'he487;
1712
RsqrteLUT[1553] = 16'he483;
1713
RsqrteLUT[1554] = 16'he47f;
1714
RsqrteLUT[1555] = 16'he47b;
1715
RsqrteLUT[1556] = 16'he478;
1716
RsqrteLUT[1557] = 16'he474;
1717
RsqrteLUT[1558] = 16'he470;
1718
RsqrteLUT[1559] = 16'he46d;
1719
RsqrteLUT[1560] = 16'he469;
1720
RsqrteLUT[1561] = 16'he466;
1721
RsqrteLUT[1562] = 16'he462;
1722
RsqrteLUT[1563] = 16'he45f;
1723
RsqrteLUT[1564] = 16'he45b;
1724
RsqrteLUT[1565] = 16'he458;
1725
RsqrteLUT[1566] = 16'he455;
1726
RsqrteLUT[1567] = 16'he452;
1727
RsqrteLUT[1568] = 16'he44f;
1728
RsqrteLUT[1569] = 16'he44c;
1729
RsqrteLUT[1570] = 16'he449;
1730
RsqrteLUT[1571] = 16'he446;
1731
RsqrteLUT[1572] = 16'he443;
1732
RsqrteLUT[1573] = 16'he440;
1733
RsqrteLUT[1574] = 16'he43d;
1734
RsqrteLUT[1575] = 16'he43a;
1735
RsqrteLUT[1576] = 16'he438;
1736
RsqrteLUT[1577] = 16'he435;
1737
RsqrteLUT[1578] = 16'he432;
1738
RsqrteLUT[1579] = 16'he42f;
1739
RsqrteLUT[1580] = 16'he42d;
1740
RsqrteLUT[1581] = 16'he42a;
1741
RsqrteLUT[1582] = 16'he428;
1742
RsqrteLUT[1583] = 16'he425;
1743
RsqrteLUT[1584] = 16'he423;
1744
RsqrteLUT[1585] = 16'he420;
1745
RsqrteLUT[1586] = 16'he41e;
1746
RsqrteLUT[1587] = 16'he41c;
1747
RsqrteLUT[1588] = 16'he419;
1748
RsqrteLUT[1589] = 16'he417;
1749
RsqrteLUT[1590] = 16'he415;
1750
RsqrteLUT[1591] = 16'he413;
1751
RsqrteLUT[1592] = 16'he410;
1752
RsqrteLUT[1593] = 16'he40e;
1753
RsqrteLUT[1594] = 16'he40c;
1754
RsqrteLUT[1595] = 16'he40a;
1755
RsqrteLUT[1596] = 16'he408;
1756
RsqrteLUT[1597] = 16'he406;
1757
RsqrteLUT[1598] = 16'he404;
1758
RsqrteLUT[1599] = 16'he402;
1759
RsqrteLUT[1600] = 16'he400;
1760
RsqrteLUT[1601] = 16'he3f8;
1761
RsqrteLUT[1602] = 16'he3f0;
1762
RsqrteLUT[1603] = 16'he3e8;
1763
RsqrteLUT[1604] = 16'he3e1;
1764
RsqrteLUT[1605] = 16'he3da;
1765
RsqrteLUT[1606] = 16'he3d3;
1766
RsqrteLUT[1607] = 16'he3cc;
1767
RsqrteLUT[1608] = 16'he3c5;
1768
RsqrteLUT[1609] = 16'he3be;
1769
RsqrteLUT[1610] = 16'he3b8;
1770
RsqrteLUT[1611] = 16'he3b1;
1771
RsqrteLUT[1612] = 16'he3ab;
1772
RsqrteLUT[1613] = 16'he3a5;
1773
RsqrteLUT[1614] = 16'he39f;
1774
RsqrteLUT[1615] = 16'he399;
1775
RsqrteLUT[1616] = 16'he393;
1776
RsqrteLUT[1617] = 16'he38e;
1777
RsqrteLUT[1618] = 16'he388;
1778
RsqrteLUT[1619] = 16'he383;
1779
RsqrteLUT[1620] = 16'he37d;
1780
RsqrteLUT[1621] = 16'he378;
1781
RsqrteLUT[1622] = 16'he373;
1782
RsqrteLUT[1623] = 16'he36e;
1783
RsqrteLUT[1624] = 16'he369;
1784
RsqrteLUT[1625] = 16'he364;
1785
RsqrteLUT[1626] = 16'he35f;
1786
RsqrteLUT[1627] = 16'he35a;
1787
RsqrteLUT[1628] = 16'he356;
1788
RsqrteLUT[1629] = 16'he351;
1789
RsqrteLUT[1630] = 16'he34c;
1790
RsqrteLUT[1631] = 16'he348;
1791
RsqrteLUT[1632] = 16'he344;
1792
RsqrteLUT[1633] = 16'he33f;
1793
RsqrteLUT[1634] = 16'he33b;
1794
RsqrteLUT[1635] = 16'he337;
1795
RsqrteLUT[1636] = 16'he333;
1796
RsqrteLUT[1637] = 16'he32f;
1797
RsqrteLUT[1638] = 16'he32b;
1798
RsqrteLUT[1639] = 16'he327;
1799
RsqrteLUT[1640] = 16'he323;
1800
RsqrteLUT[1641] = 16'he31f;
1801
RsqrteLUT[1642] = 16'he31b;
1802
RsqrteLUT[1643] = 16'he317;
1803
RsqrteLUT[1644] = 16'he314;
1804
RsqrteLUT[1645] = 16'he310;
1805
RsqrteLUT[1646] = 16'he30d;
1806
RsqrteLUT[1647] = 16'he309;
1807
RsqrteLUT[1648] = 16'he306;
1808
RsqrteLUT[1649] = 16'he302;
1809
RsqrteLUT[1650] = 16'he2ff;
1810
RsqrteLUT[1651] = 16'he2fb;
1811
RsqrteLUT[1652] = 16'he2f8;
1812
RsqrteLUT[1653] = 16'he2f5;
1813
RsqrteLUT[1654] = 16'he2f2;
1814
RsqrteLUT[1655] = 16'he2ee;
1815
RsqrteLUT[1656] = 16'he2eb;
1816
RsqrteLUT[1657] = 16'he2e8;
1817
RsqrteLUT[1658] = 16'he2e5;
1818
RsqrteLUT[1659] = 16'he2e2;
1819
RsqrteLUT[1660] = 16'he2df;
1820
RsqrteLUT[1661] = 16'he2dc;
1821
RsqrteLUT[1662] = 16'he2d9;
1822
RsqrteLUT[1663] = 16'he2d6;
1823
RsqrteLUT[1664] = 16'he2d4;
1824
RsqrteLUT[1665] = 16'he2ce;
1825
RsqrteLUT[1666] = 16'he2c9;
1826
RsqrteLUT[1667] = 16'he2c3;
1827
RsqrteLUT[1668] = 16'he2be;
1828
RsqrteLUT[1669] = 16'he2b9;
1829
RsqrteLUT[1670] = 16'he2b4;
1830
RsqrteLUT[1671] = 16'he2af;
1831
RsqrteLUT[1672] = 16'he2aa;
1832
RsqrteLUT[1673] = 16'he2a5;
1833
RsqrteLUT[1674] = 16'he2a1;
1834
RsqrteLUT[1675] = 16'he29c;
1835
RsqrteLUT[1676] = 16'he298;
1836
RsqrteLUT[1677] = 16'he294;
1837
RsqrteLUT[1678] = 16'he28f;
1838
RsqrteLUT[1679] = 16'he28b;
1839
RsqrteLUT[1680] = 16'he287;
1840
RsqrteLUT[1681] = 16'he283;
1841
RsqrteLUT[1682] = 16'he27f;
1842
RsqrteLUT[1683] = 16'he27b;
1843
RsqrteLUT[1684] = 16'he278;
1844
RsqrteLUT[1685] = 16'he274;
1845
RsqrteLUT[1686] = 16'he270;
1846
RsqrteLUT[1687] = 16'he26d;
1847
RsqrteLUT[1688] = 16'he269;
1848
RsqrteLUT[1689] = 16'he266;
1849
RsqrteLUT[1690] = 16'he262;
1850
RsqrteLUT[1691] = 16'he25f;
1851
RsqrteLUT[1692] = 16'he25b;
1852
RsqrteLUT[1693] = 16'he258;
1853
RsqrteLUT[1694] = 16'he255;
1854
RsqrteLUT[1695] = 16'he252;
1855
RsqrteLUT[1696] = 16'he24f;
1856
RsqrteLUT[1697] = 16'he24c;
1857
RsqrteLUT[1698] = 16'he249;
1858
RsqrteLUT[1699] = 16'he246;
1859
RsqrteLUT[1700] = 16'he243;
1860
RsqrteLUT[1701] = 16'he240;
1861
RsqrteLUT[1702] = 16'he23d;
1862
RsqrteLUT[1703] = 16'he23a;
1863
RsqrteLUT[1704] = 16'he238;
1864
RsqrteLUT[1705] = 16'he235;
1865
RsqrteLUT[1706] = 16'he232;
1866
RsqrteLUT[1707] = 16'he22f;
1867
RsqrteLUT[1708] = 16'he22d;
1868
RsqrteLUT[1709] = 16'he22a;
1869
RsqrteLUT[1710] = 16'he228;
1870
RsqrteLUT[1711] = 16'he225;
1871
RsqrteLUT[1712] = 16'he223;
1872
RsqrteLUT[1713] = 16'he220;
1873
RsqrteLUT[1714] = 16'he21e;
1874
RsqrteLUT[1715] = 16'he21c;
1875
RsqrteLUT[1716] = 16'he219;
1876
RsqrteLUT[1717] = 16'he217;
1877
RsqrteLUT[1718] = 16'he215;
1878
RsqrteLUT[1719] = 16'he213;
1879
RsqrteLUT[1720] = 16'he210;
1880
RsqrteLUT[1721] = 16'he20e;
1881
RsqrteLUT[1722] = 16'he20c;
1882
RsqrteLUT[1723] = 16'he20a;
1883
RsqrteLUT[1724] = 16'he208;
1884
RsqrteLUT[1725] = 16'he206;
1885
RsqrteLUT[1726] = 16'he204;
1886
RsqrteLUT[1727] = 16'he202;
1887
RsqrteLUT[1728] = 16'he200;
1888
RsqrteLUT[1729] = 16'he1f8;
1889
RsqrteLUT[1730] = 16'he1f0;
1890
RsqrteLUT[1731] = 16'he1e8;
1891
RsqrteLUT[1732] = 16'he1e1;
1892
RsqrteLUT[1733] = 16'he1da;
1893
RsqrteLUT[1734] = 16'he1d3;
1894
RsqrteLUT[1735] = 16'he1cc;
1895
RsqrteLUT[1736] = 16'he1c5;
1896
RsqrteLUT[1737] = 16'he1be;
1897
RsqrteLUT[1738] = 16'he1b8;
1898
RsqrteLUT[1739] = 16'he1b1;
1899
RsqrteLUT[1740] = 16'he1ab;
1900
RsqrteLUT[1741] = 16'he1a5;
1901
RsqrteLUT[1742] = 16'he19f;
1902
RsqrteLUT[1743] = 16'he199;
1903
RsqrteLUT[1744] = 16'he193;
1904
RsqrteLUT[1745] = 16'he18e;
1905
RsqrteLUT[1746] = 16'he188;
1906
RsqrteLUT[1747] = 16'he183;
1907
RsqrteLUT[1748] = 16'he17d;
1908
RsqrteLUT[1749] = 16'he178;
1909
RsqrteLUT[1750] = 16'he173;
1910
RsqrteLUT[1751] = 16'he16e;
1911
RsqrteLUT[1752] = 16'he169;
1912
RsqrteLUT[1753] = 16'he164;
1913
RsqrteLUT[1754] = 16'he15f;
1914
RsqrteLUT[1755] = 16'he15a;
1915
RsqrteLUT[1756] = 16'he156;
1916
RsqrteLUT[1757] = 16'he151;
1917
RsqrteLUT[1758] = 16'he14c;
1918
RsqrteLUT[1759] = 16'he148;
1919
RsqrteLUT[1760] = 16'he144;
1920
RsqrteLUT[1761] = 16'he13f;
1921
RsqrteLUT[1762] = 16'he13b;
1922
RsqrteLUT[1763] = 16'he137;
1923
RsqrteLUT[1764] = 16'he133;
1924
RsqrteLUT[1765] = 16'he12f;
1925
RsqrteLUT[1766] = 16'he12b;
1926
RsqrteLUT[1767] = 16'he127;
1927
RsqrteLUT[1768] = 16'he123;
1928
RsqrteLUT[1769] = 16'he11f;
1929
RsqrteLUT[1770] = 16'he11b;
1930
RsqrteLUT[1771] = 16'he117;
1931
RsqrteLUT[1772] = 16'he114;
1932
RsqrteLUT[1773] = 16'he110;
1933
RsqrteLUT[1774] = 16'he10d;
1934
RsqrteLUT[1775] = 16'he109;
1935
RsqrteLUT[1776] = 16'he106;
1936
RsqrteLUT[1777] = 16'he102;
1937
RsqrteLUT[1778] = 16'he0ff;
1938
RsqrteLUT[1779] = 16'he0fb;
1939
RsqrteLUT[1780] = 16'he0f8;
1940
RsqrteLUT[1781] = 16'he0f5;
1941
RsqrteLUT[1782] = 16'he0f2;
1942
RsqrteLUT[1783] = 16'he0ee;
1943
RsqrteLUT[1784] = 16'he0eb;
1944
RsqrteLUT[1785] = 16'he0e8;
1945
RsqrteLUT[1786] = 16'he0e5;
1946
RsqrteLUT[1787] = 16'he0e2;
1947
RsqrteLUT[1788] = 16'he0df;
1948
RsqrteLUT[1789] = 16'he0dc;
1949
RsqrteLUT[1790] = 16'he0d9;
1950
RsqrteLUT[1791] = 16'he0d6;
1951
RsqrteLUT[1792] = 16'he0d4;
1952
RsqrteLUT[1793] = 16'he0ce;
1953
RsqrteLUT[1794] = 16'he0c9;
1954
RsqrteLUT[1795] = 16'he0c3;
1955
RsqrteLUT[1796] = 16'he0be;
1956
RsqrteLUT[1797] = 16'he0b9;
1957
RsqrteLUT[1798] = 16'he0b4;
1958
RsqrteLUT[1799] = 16'he0af;
1959
RsqrteLUT[1800] = 16'he0aa;
1960
RsqrteLUT[1801] = 16'he0a5;
1961
RsqrteLUT[1802] = 16'he0a1;
1962
RsqrteLUT[1803] = 16'he09c;
1963
RsqrteLUT[1804] = 16'he098;
1964
RsqrteLUT[1805] = 16'he094;
1965
RsqrteLUT[1806] = 16'he08f;
1966
RsqrteLUT[1807] = 16'he08b;
1967
RsqrteLUT[1808] = 16'he087;
1968
RsqrteLUT[1809] = 16'he083;
1969
RsqrteLUT[1810] = 16'he07f;
1970
RsqrteLUT[1811] = 16'he07b;
1971
RsqrteLUT[1812] = 16'he078;
1972
RsqrteLUT[1813] = 16'he074;
1973
RsqrteLUT[1814] = 16'he070;
1974
RsqrteLUT[1815] = 16'he06d;
1975
RsqrteLUT[1816] = 16'he069;
1976
RsqrteLUT[1817] = 16'he066;
1977
RsqrteLUT[1818] = 16'he062;
1978
RsqrteLUT[1819] = 16'he05f;
1979
RsqrteLUT[1820] = 16'he05b;
1980
RsqrteLUT[1821] = 16'he058;
1981
RsqrteLUT[1822] = 16'he055;
1982
RsqrteLUT[1823] = 16'he052;
1983
RsqrteLUT[1824] = 16'he04f;
1984
RsqrteLUT[1825] = 16'he04c;
1985
RsqrteLUT[1826] = 16'he049;
1986
RsqrteLUT[1827] = 16'he046;
1987
RsqrteLUT[1828] = 16'he043;
1988
RsqrteLUT[1829] = 16'he040;
1989
RsqrteLUT[1830] = 16'he03d;
1990
RsqrteLUT[1831] = 16'he03a;
1991
RsqrteLUT[1832] = 16'he038;
1992
RsqrteLUT[1833] = 16'he035;
1993
RsqrteLUT[1834] = 16'he032;
1994
RsqrteLUT[1835] = 16'he02f;
1995
RsqrteLUT[1836] = 16'he02d;
1996
RsqrteLUT[1837] = 16'he02a;
1997
RsqrteLUT[1838] = 16'he028;
1998
RsqrteLUT[1839] = 16'he025;
1999
RsqrteLUT[1840] = 16'he023;
2000
RsqrteLUT[1841] = 16'he020;
2001
RsqrteLUT[1842] = 16'he01e;
2002
RsqrteLUT[1843] = 16'he01c;
2003
RsqrteLUT[1844] = 16'he019;
2004
RsqrteLUT[1845] = 16'he017;
2005
RsqrteLUT[1846] = 16'he015;
2006
RsqrteLUT[1847] = 16'he013;
2007
RsqrteLUT[1848] = 16'he010;
2008
RsqrteLUT[1849] = 16'he00e;
2009
RsqrteLUT[1850] = 16'he00c;
2010
RsqrteLUT[1851] = 16'he00a;
2011
RsqrteLUT[1852] = 16'he008;
2012
RsqrteLUT[1853] = 16'he006;
2013
RsqrteLUT[1854] = 16'he004;
2014
RsqrteLUT[1855] = 16'he002;
2015
RsqrteLUT[1856] = 16'he000;
2016
RsqrteLUT[1857] = 16'hdff8;
2017
RsqrteLUT[1858] = 16'hdff0;
2018
RsqrteLUT[1859] = 16'hdfe8;
2019
RsqrteLUT[1860] = 16'hdfe1;
2020
RsqrteLUT[1861] = 16'hdfda;
2021
RsqrteLUT[1862] = 16'hdfd3;
2022
RsqrteLUT[1863] = 16'hdfcc;
2023
RsqrteLUT[1864] = 16'hdfc5;
2024
RsqrteLUT[1865] = 16'hdfbe;
2025
RsqrteLUT[1866] = 16'hdfb8;
2026
RsqrteLUT[1867] = 16'hdfb1;
2027
RsqrteLUT[1868] = 16'hdfab;
2028
RsqrteLUT[1869] = 16'hdfa5;
2029
RsqrteLUT[1870] = 16'hdf9f;
2030
RsqrteLUT[1871] = 16'hdf99;
2031
RsqrteLUT[1872] = 16'hdf93;
2032
RsqrteLUT[1873] = 16'hdf8e;
2033
RsqrteLUT[1874] = 16'hdf88;
2034
RsqrteLUT[1875] = 16'hdf83;
2035
RsqrteLUT[1876] = 16'hdf7d;
2036
RsqrteLUT[1877] = 16'hdf78;
2037
RsqrteLUT[1878] = 16'hdf73;
2038
RsqrteLUT[1879] = 16'hdf6e;
2039
RsqrteLUT[1880] = 16'hdf69;
2040
RsqrteLUT[1881] = 16'hdf64;
2041
RsqrteLUT[1882] = 16'hdf5f;
2042
RsqrteLUT[1883] = 16'hdf5a;
2043
RsqrteLUT[1884] = 16'hdf56;
2044
RsqrteLUT[1885] = 16'hdf51;
2045
RsqrteLUT[1886] = 16'hdf4c;
2046
RsqrteLUT[1887] = 16'hdf48;
2047
RsqrteLUT[1888] = 16'hdf44;
2048
RsqrteLUT[1889] = 16'hdf3f;
2049
RsqrteLUT[1890] = 16'hdf3b;
2050
RsqrteLUT[1891] = 16'hdf37;
2051
RsqrteLUT[1892] = 16'hdf33;
2052
RsqrteLUT[1893] = 16'hdf2f;
2053
RsqrteLUT[1894] = 16'hdf2b;
2054
RsqrteLUT[1895] = 16'hdf27;
2055
RsqrteLUT[1896] = 16'hdf23;
2056
RsqrteLUT[1897] = 16'hdf1f;
2057
RsqrteLUT[1898] = 16'hdf1b;
2058
RsqrteLUT[1899] = 16'hdf17;
2059
RsqrteLUT[1900] = 16'hdf14;
2060
RsqrteLUT[1901] = 16'hdf10;
2061
RsqrteLUT[1902] = 16'hdf0d;
2062
RsqrteLUT[1903] = 16'hdf09;
2063
RsqrteLUT[1904] = 16'hdf06;
2064
RsqrteLUT[1905] = 16'hdf02;
2065
RsqrteLUT[1906] = 16'hdeff;
2066
RsqrteLUT[1907] = 16'hdefb;
2067
RsqrteLUT[1908] = 16'hdef8;
2068
RsqrteLUT[1909] = 16'hdef5;
2069
RsqrteLUT[1910] = 16'hdef2;
2070
RsqrteLUT[1911] = 16'hdeee;
2071
RsqrteLUT[1912] = 16'hdeeb;
2072
RsqrteLUT[1913] = 16'hdee8;
2073
RsqrteLUT[1914] = 16'hdee5;
2074
RsqrteLUT[1915] = 16'hdee2;
2075
RsqrteLUT[1916] = 16'hdedf;
2076
RsqrteLUT[1917] = 16'hdedc;
2077
RsqrteLUT[1918] = 16'hded9;
2078
RsqrteLUT[1919] = 16'hded6;
2079
RsqrteLUT[1920] = 16'hded4;
2080
RsqrteLUT[1921] = 16'hdece;
2081
RsqrteLUT[1922] = 16'hdec9;
2082
RsqrteLUT[1923] = 16'hdec3;
2083
RsqrteLUT[1924] = 16'hdebe;
2084
RsqrteLUT[1925] = 16'hdeb9;
2085
RsqrteLUT[1926] = 16'hdeb4;
2086
RsqrteLUT[1927] = 16'hdeaf;
2087
RsqrteLUT[1928] = 16'hdeaa;
2088
RsqrteLUT[1929] = 16'hdea5;
2089
RsqrteLUT[1930] = 16'hdea1;
2090
RsqrteLUT[1931] = 16'hde9c;
2091
RsqrteLUT[1932] = 16'hde98;
2092
RsqrteLUT[1933] = 16'hde94;
2093
RsqrteLUT[1934] = 16'hde8f;
2094
RsqrteLUT[1935] = 16'hde8b;
2095
RsqrteLUT[1936] = 16'hde87;
2096
RsqrteLUT[1937] = 16'hde83;
2097
RsqrteLUT[1938] = 16'hde7f;
2098
RsqrteLUT[1939] = 16'hde7b;
2099
RsqrteLUT[1940] = 16'hde78;
2100
RsqrteLUT[1941] = 16'hde74;
2101
RsqrteLUT[1942] = 16'hde70;
2102
RsqrteLUT[1943] = 16'hde6d;
2103
RsqrteLUT[1944] = 16'hde69;
2104
RsqrteLUT[1945] = 16'hde66;
2105
RsqrteLUT[1946] = 16'hde62;
2106
RsqrteLUT[1947] = 16'hde5f;
2107
RsqrteLUT[1948] = 16'hde5b;
2108
RsqrteLUT[1949] = 16'hde58;
2109
RsqrteLUT[1950] = 16'hde55;
2110
RsqrteLUT[1951] = 16'hde52;
2111
RsqrteLUT[1952] = 16'hde4f;
2112
RsqrteLUT[1953] = 16'hde4c;
2113
RsqrteLUT[1954] = 16'hde49;
2114
RsqrteLUT[1955] = 16'hde46;
2115
RsqrteLUT[1956] = 16'hde43;
2116
RsqrteLUT[1957] = 16'hde40;
2117
RsqrteLUT[1958] = 16'hde3d;
2118
RsqrteLUT[1959] = 16'hde3a;
2119
RsqrteLUT[1960] = 16'hde38;
2120
RsqrteLUT[1961] = 16'hde35;
2121
RsqrteLUT[1962] = 16'hde32;
2122
RsqrteLUT[1963] = 16'hde2f;
2123
RsqrteLUT[1964] = 16'hde2d;
2124
RsqrteLUT[1965] = 16'hde2a;
2125
RsqrteLUT[1966] = 16'hde28;
2126
RsqrteLUT[1967] = 16'hde25;
2127
RsqrteLUT[1968] = 16'hde23;
2128
RsqrteLUT[1969] = 16'hde20;
2129
RsqrteLUT[1970] = 16'hde1e;
2130
RsqrteLUT[1971] = 16'hde1c;
2131
RsqrteLUT[1972] = 16'hde19;
2132
RsqrteLUT[1973] = 16'hde17;
2133
RsqrteLUT[1974] = 16'hde15;
2134
RsqrteLUT[1975] = 16'hde13;
2135
RsqrteLUT[1976] = 16'hde10;
2136
RsqrteLUT[1977] = 16'hde0e;
2137
RsqrteLUT[1978] = 16'hde0c;
2138
RsqrteLUT[1979] = 16'hde0a;
2139
RsqrteLUT[1980] = 16'hde08;
2140
RsqrteLUT[1981] = 16'hde06;
2141
RsqrteLUT[1982] = 16'hde04;
2142
RsqrteLUT[1983] = 16'hde02;
2143
RsqrteLUT[1984] = 16'hde00;
2144
RsqrteLUT[1985] = 16'hddf8;
2145
RsqrteLUT[1986] = 16'hddf0;
2146
RsqrteLUT[1987] = 16'hdde8;
2147
RsqrteLUT[1988] = 16'hdde1;
2148
RsqrteLUT[1989] = 16'hddda;
2149
RsqrteLUT[1990] = 16'hddd3;
2150
RsqrteLUT[1991] = 16'hddcc;
2151
RsqrteLUT[1992] = 16'hddc5;
2152
RsqrteLUT[1993] = 16'hddbe;
2153
RsqrteLUT[1994] = 16'hddb8;
2154
RsqrteLUT[1995] = 16'hddb1;
2155
RsqrteLUT[1996] = 16'hddab;
2156
RsqrteLUT[1997] = 16'hdda5;
2157
RsqrteLUT[1998] = 16'hdd9f;
2158
RsqrteLUT[1999] = 16'hdd99;
2159
RsqrteLUT[2000] = 16'hdd93;
2160
RsqrteLUT[2001] = 16'hdd8e;
2161
RsqrteLUT[2002] = 16'hdd88;
2162
RsqrteLUT[2003] = 16'hdd83;
2163
RsqrteLUT[2004] = 16'hdd7d;
2164
RsqrteLUT[2005] = 16'hdd78;
2165
RsqrteLUT[2006] = 16'hdd73;
2166
RsqrteLUT[2007] = 16'hdd6e;
2167
RsqrteLUT[2008] = 16'hdd69;
2168
RsqrteLUT[2009] = 16'hdd64;
2169
RsqrteLUT[2010] = 16'hdd5f;
2170
RsqrteLUT[2011] = 16'hdd5a;
2171
RsqrteLUT[2012] = 16'hdd56;
2172
RsqrteLUT[2013] = 16'hdd51;
2173
RsqrteLUT[2014] = 16'hdd4c;
2174
RsqrteLUT[2015] = 16'hdd48;
2175
RsqrteLUT[2016] = 16'hdd44;
2176
RsqrteLUT[2017] = 16'hdd3f;
2177
RsqrteLUT[2018] = 16'hdd3b;
2178
RsqrteLUT[2019] = 16'hdd37;
2179
RsqrteLUT[2020] = 16'hdd33;
2180
RsqrteLUT[2021] = 16'hdd2f;
2181
RsqrteLUT[2022] = 16'hdd2b;
2182
RsqrteLUT[2023] = 16'hdd27;
2183
RsqrteLUT[2024] = 16'hdd23;
2184
RsqrteLUT[2025] = 16'hdd1f;
2185
RsqrteLUT[2026] = 16'hdd1b;
2186
RsqrteLUT[2027] = 16'hdd17;
2187
RsqrteLUT[2028] = 16'hdd14;
2188
RsqrteLUT[2029] = 16'hdd10;
2189
RsqrteLUT[2030] = 16'hdd0d;
2190
RsqrteLUT[2031] = 16'hdd09;
2191
RsqrteLUT[2032] = 16'hdd06;
2192
RsqrteLUT[2033] = 16'hdd02;
2193
RsqrteLUT[2034] = 16'hdcff;
2194
RsqrteLUT[2035] = 16'hdcfb;
2195
RsqrteLUT[2036] = 16'hdcf8;
2196
RsqrteLUT[2037] = 16'hdcf5;
2197
RsqrteLUT[2038] = 16'hdcf2;
2198
RsqrteLUT[2039] = 16'hdcee;
2199
RsqrteLUT[2040] = 16'hdceb;
2200
RsqrteLUT[2041] = 16'hdce8;
2201
RsqrteLUT[2042] = 16'hdce5;
2202
RsqrteLUT[2043] = 16'hdce2;
2203
RsqrteLUT[2044] = 16'hdcdf;
2204
RsqrteLUT[2045] = 16'hdcdc;
2205
RsqrteLUT[2046] = 16'hdcd9;
2206
RsqrteLUT[2047] = 16'hdcd6;
2207
RsqrteLUT[2048] = 16'hdcd4;
2208
RsqrteLUT[2049] = 16'hdcce;
2209
RsqrteLUT[2050] = 16'hdcc9;
2210
RsqrteLUT[2051] = 16'hdcc3;
2211
RsqrteLUT[2052] = 16'hdcbe;
2212
RsqrteLUT[2053] = 16'hdcb9;
2213
RsqrteLUT[2054] = 16'hdcb4;
2214
RsqrteLUT[2055] = 16'hdcaf;
2215
RsqrteLUT[2056] = 16'hdcaa;
2216
RsqrteLUT[2057] = 16'hdca5;
2217
RsqrteLUT[2058] = 16'hdca1;
2218
RsqrteLUT[2059] = 16'hdc9c;
2219
RsqrteLUT[2060] = 16'hdc98;
2220
RsqrteLUT[2061] = 16'hdc94;
2221
RsqrteLUT[2062] = 16'hdc8f;
2222
RsqrteLUT[2063] = 16'hdc8b;
2223
RsqrteLUT[2064] = 16'hdc87;
2224
RsqrteLUT[2065] = 16'hdc83;
2225
RsqrteLUT[2066] = 16'hdc7f;
2226
RsqrteLUT[2067] = 16'hdc7b;
2227
RsqrteLUT[2068] = 16'hdc78;
2228
RsqrteLUT[2069] = 16'hdc74;
2229
RsqrteLUT[2070] = 16'hdc70;
2230
RsqrteLUT[2071] = 16'hdc6d;
2231
RsqrteLUT[2072] = 16'hdc69;
2232
RsqrteLUT[2073] = 16'hdc66;
2233
RsqrteLUT[2074] = 16'hdc62;
2234
RsqrteLUT[2075] = 16'hdc5f;
2235
RsqrteLUT[2076] = 16'hdc5b;
2236
RsqrteLUT[2077] = 16'hdc58;
2237
RsqrteLUT[2078] = 16'hdc55;
2238
RsqrteLUT[2079] = 16'hdc52;
2239
RsqrteLUT[2080] = 16'hdc4f;
2240
RsqrteLUT[2081] = 16'hdc4c;
2241
RsqrteLUT[2082] = 16'hdc49;
2242
RsqrteLUT[2083] = 16'hdc46;
2243
RsqrteLUT[2084] = 16'hdc43;
2244
RsqrteLUT[2085] = 16'hdc40;
2245
RsqrteLUT[2086] = 16'hdc3d;
2246
RsqrteLUT[2087] = 16'hdc3a;
2247
RsqrteLUT[2088] = 16'hdc38;
2248
RsqrteLUT[2089] = 16'hdc35;
2249
RsqrteLUT[2090] = 16'hdc32;
2250
RsqrteLUT[2091] = 16'hdc2f;
2251
RsqrteLUT[2092] = 16'hdc2d;
2252
RsqrteLUT[2093] = 16'hdc2a;
2253
RsqrteLUT[2094] = 16'hdc28;
2254
RsqrteLUT[2095] = 16'hdc25;
2255
RsqrteLUT[2096] = 16'hdc23;
2256
RsqrteLUT[2097] = 16'hdc20;
2257
RsqrteLUT[2098] = 16'hdc1e;
2258
RsqrteLUT[2099] = 16'hdc1c;
2259
RsqrteLUT[2100] = 16'hdc19;
2260
RsqrteLUT[2101] = 16'hdc17;
2261
RsqrteLUT[2102] = 16'hdc15;
2262
RsqrteLUT[2103] = 16'hdc13;
2263
RsqrteLUT[2104] = 16'hdc10;
2264
RsqrteLUT[2105] = 16'hdc0e;
2265
RsqrteLUT[2106] = 16'hdc0c;
2266
RsqrteLUT[2107] = 16'hdc0a;
2267
RsqrteLUT[2108] = 16'hdc08;
2268
RsqrteLUT[2109] = 16'hdc06;
2269
RsqrteLUT[2110] = 16'hdc04;
2270
RsqrteLUT[2111] = 16'hdc02;
2271
RsqrteLUT[2112] = 16'hdc00;
2272
RsqrteLUT[2113] = 16'hdbf8;
2273
RsqrteLUT[2114] = 16'hdbf0;
2274
RsqrteLUT[2115] = 16'hdbe8;
2275
RsqrteLUT[2116] = 16'hdbe1;
2276
RsqrteLUT[2117] = 16'hdbda;
2277
RsqrteLUT[2118] = 16'hdbd3;
2278
RsqrteLUT[2119] = 16'hdbcc;
2279
RsqrteLUT[2120] = 16'hdbc5;
2280
RsqrteLUT[2121] = 16'hdbbe;
2281
RsqrteLUT[2122] = 16'hdbb8;
2282
RsqrteLUT[2123] = 16'hdbb1;
2283
RsqrteLUT[2124] = 16'hdbab;
2284
RsqrteLUT[2125] = 16'hdba5;
2285
RsqrteLUT[2126] = 16'hdb9f;
2286
RsqrteLUT[2127] = 16'hdb99;
2287
RsqrteLUT[2128] = 16'hdb93;
2288
RsqrteLUT[2129] = 16'hdb8e;
2289
RsqrteLUT[2130] = 16'hdb88;
2290
RsqrteLUT[2131] = 16'hdb83;
2291
RsqrteLUT[2132] = 16'hdb7d;
2292
RsqrteLUT[2133] = 16'hdb78;
2293
RsqrteLUT[2134] = 16'hdb73;
2294
RsqrteLUT[2135] = 16'hdb6e;
2295
RsqrteLUT[2136] = 16'hdb69;
2296
RsqrteLUT[2137] = 16'hdb64;
2297
RsqrteLUT[2138] = 16'hdb5f;
2298
RsqrteLUT[2139] = 16'hdb5a;
2299
RsqrteLUT[2140] = 16'hdb56;
2300
RsqrteLUT[2141] = 16'hdb51;
2301
RsqrteLUT[2142] = 16'hdb4c;
2302
RsqrteLUT[2143] = 16'hdb48;
2303
RsqrteLUT[2144] = 16'hdb44;
2304
RsqrteLUT[2145] = 16'hdb3f;
2305
RsqrteLUT[2146] = 16'hdb3b;
2306
RsqrteLUT[2147] = 16'hdb37;
2307
RsqrteLUT[2148] = 16'hdb33;
2308
RsqrteLUT[2149] = 16'hdb2f;
2309
RsqrteLUT[2150] = 16'hdb2b;
2310
RsqrteLUT[2151] = 16'hdb27;
2311
RsqrteLUT[2152] = 16'hdb23;
2312
RsqrteLUT[2153] = 16'hdb1f;
2313
RsqrteLUT[2154] = 16'hdb1b;
2314
RsqrteLUT[2155] = 16'hdb17;
2315
RsqrteLUT[2156] = 16'hdb14;
2316
RsqrteLUT[2157] = 16'hdb10;
2317
RsqrteLUT[2158] = 16'hdb0d;
2318
RsqrteLUT[2159] = 16'hdb09;
2319
RsqrteLUT[2160] = 16'hdb06;
2320
RsqrteLUT[2161] = 16'hdb02;
2321
RsqrteLUT[2162] = 16'hdaff;
2322
RsqrteLUT[2163] = 16'hdafb;
2323
RsqrteLUT[2164] = 16'hdaf8;
2324
RsqrteLUT[2165] = 16'hdaf5;
2325
RsqrteLUT[2166] = 16'hdaf2;
2326
RsqrteLUT[2167] = 16'hdaee;
2327
RsqrteLUT[2168] = 16'hdaeb;
2328
RsqrteLUT[2169] = 16'hdae8;
2329
RsqrteLUT[2170] = 16'hdae5;
2330
RsqrteLUT[2171] = 16'hdae2;
2331
RsqrteLUT[2172] = 16'hdadf;
2332
RsqrteLUT[2173] = 16'hdadc;
2333
RsqrteLUT[2174] = 16'hdad9;
2334
RsqrteLUT[2175] = 16'hdad6;
2335
RsqrteLUT[2176] = 16'hdad4;
2336
RsqrteLUT[2177] = 16'hdace;
2337
RsqrteLUT[2178] = 16'hdac9;
2338
RsqrteLUT[2179] = 16'hdac3;
2339
RsqrteLUT[2180] = 16'hdabe;
2340
RsqrteLUT[2181] = 16'hdab9;
2341
RsqrteLUT[2182] = 16'hdab4;
2342
RsqrteLUT[2183] = 16'hdaaf;
2343
RsqrteLUT[2184] = 16'hdaaa;
2344
RsqrteLUT[2185] = 16'hdaa5;
2345
RsqrteLUT[2186] = 16'hdaa1;
2346
RsqrteLUT[2187] = 16'hda9c;
2347
RsqrteLUT[2188] = 16'hda98;
2348
RsqrteLUT[2189] = 16'hda94;
2349
RsqrteLUT[2190] = 16'hda8f;
2350
RsqrteLUT[2191] = 16'hda8b;
2351
RsqrteLUT[2192] = 16'hda87;
2352
RsqrteLUT[2193] = 16'hda83;
2353
RsqrteLUT[2194] = 16'hda7f;
2354
RsqrteLUT[2195] = 16'hda7b;
2355
RsqrteLUT[2196] = 16'hda78;
2356
RsqrteLUT[2197] = 16'hda74;
2357
RsqrteLUT[2198] = 16'hda70;
2358
RsqrteLUT[2199] = 16'hda6d;
2359
RsqrteLUT[2200] = 16'hda69;
2360
RsqrteLUT[2201] = 16'hda66;
2361
RsqrteLUT[2202] = 16'hda62;
2362
RsqrteLUT[2203] = 16'hda5f;
2363
RsqrteLUT[2204] = 16'hda5b;
2364
RsqrteLUT[2205] = 16'hda58;
2365
RsqrteLUT[2206] = 16'hda55;
2366
RsqrteLUT[2207] = 16'hda52;
2367
RsqrteLUT[2208] = 16'hda4f;
2368
RsqrteLUT[2209] = 16'hda4c;
2369
RsqrteLUT[2210] = 16'hda49;
2370
RsqrteLUT[2211] = 16'hda46;
2371
RsqrteLUT[2212] = 16'hda43;
2372
RsqrteLUT[2213] = 16'hda40;
2373
RsqrteLUT[2214] = 16'hda3d;
2374
RsqrteLUT[2215] = 16'hda3a;
2375
RsqrteLUT[2216] = 16'hda38;
2376
RsqrteLUT[2217] = 16'hda35;
2377
RsqrteLUT[2218] = 16'hda32;
2378
RsqrteLUT[2219] = 16'hda2f;
2379
RsqrteLUT[2220] = 16'hda2d;
2380
RsqrteLUT[2221] = 16'hda2a;
2381
RsqrteLUT[2222] = 16'hda28;
2382
RsqrteLUT[2223] = 16'hda25;
2383
RsqrteLUT[2224] = 16'hda23;
2384
RsqrteLUT[2225] = 16'hda20;
2385
RsqrteLUT[2226] = 16'hda1e;
2386
RsqrteLUT[2227] = 16'hda1c;
2387
RsqrteLUT[2228] = 16'hda19;
2388
RsqrteLUT[2229] = 16'hda17;
2389
RsqrteLUT[2230] = 16'hda15;
2390
RsqrteLUT[2231] = 16'hda13;
2391
RsqrteLUT[2232] = 16'hda10;
2392
RsqrteLUT[2233] = 16'hda0e;
2393
RsqrteLUT[2234] = 16'hda0c;
2394
RsqrteLUT[2235] = 16'hda0a;
2395
RsqrteLUT[2236] = 16'hda08;
2396
RsqrteLUT[2237] = 16'hda06;
2397
RsqrteLUT[2238] = 16'hda04;
2398
RsqrteLUT[2239] = 16'hda02;
2399
RsqrteLUT[2240] = 16'hda00;
2400
RsqrteLUT[2241] = 16'hd9f8;
2401
RsqrteLUT[2242] = 16'hd9f0;
2402
RsqrteLUT[2243] = 16'hd9e8;
2403
RsqrteLUT[2244] = 16'hd9e1;
2404
RsqrteLUT[2245] = 16'hd9da;
2405
RsqrteLUT[2246] = 16'hd9d3;
2406
RsqrteLUT[2247] = 16'hd9cc;
2407
RsqrteLUT[2248] = 16'hd9c5;
2408
RsqrteLUT[2249] = 16'hd9be;
2409
RsqrteLUT[2250] = 16'hd9b8;
2410
RsqrteLUT[2251] = 16'hd9b1;
2411
RsqrteLUT[2252] = 16'hd9ab;
2412
RsqrteLUT[2253] = 16'hd9a5;
2413
RsqrteLUT[2254] = 16'hd99f;
2414
RsqrteLUT[2255] = 16'hd999;
2415
RsqrteLUT[2256] = 16'hd993;
2416
RsqrteLUT[2257] = 16'hd98e;
2417
RsqrteLUT[2258] = 16'hd988;
2418
RsqrteLUT[2259] = 16'hd983;
2419
RsqrteLUT[2260] = 16'hd97d;
2420
RsqrteLUT[2261] = 16'hd978;
2421
RsqrteLUT[2262] = 16'hd973;
2422
RsqrteLUT[2263] = 16'hd96e;
2423
RsqrteLUT[2264] = 16'hd969;
2424
RsqrteLUT[2265] = 16'hd964;
2425
RsqrteLUT[2266] = 16'hd95f;
2426
RsqrteLUT[2267] = 16'hd95a;
2427
RsqrteLUT[2268] = 16'hd956;
2428
RsqrteLUT[2269] = 16'hd951;
2429
RsqrteLUT[2270] = 16'hd94c;
2430
RsqrteLUT[2271] = 16'hd948;
2431
RsqrteLUT[2272] = 16'hd944;
2432
RsqrteLUT[2273] = 16'hd93f;
2433
RsqrteLUT[2274] = 16'hd93b;
2434
RsqrteLUT[2275] = 16'hd937;
2435
RsqrteLUT[2276] = 16'hd933;
2436
RsqrteLUT[2277] = 16'hd92f;
2437
RsqrteLUT[2278] = 16'hd92b;
2438
RsqrteLUT[2279] = 16'hd927;
2439
RsqrteLUT[2280] = 16'hd923;
2440
RsqrteLUT[2281] = 16'hd91f;
2441
RsqrteLUT[2282] = 16'hd91b;
2442
RsqrteLUT[2283] = 16'hd917;
2443
RsqrteLUT[2284] = 16'hd914;
2444
RsqrteLUT[2285] = 16'hd910;
2445
RsqrteLUT[2286] = 16'hd90d;
2446
RsqrteLUT[2287] = 16'hd909;
2447
RsqrteLUT[2288] = 16'hd906;
2448
RsqrteLUT[2289] = 16'hd902;
2449
RsqrteLUT[2290] = 16'hd8ff;
2450
RsqrteLUT[2291] = 16'hd8fb;
2451
RsqrteLUT[2292] = 16'hd8f8;
2452
RsqrteLUT[2293] = 16'hd8f5;
2453
RsqrteLUT[2294] = 16'hd8f2;
2454
RsqrteLUT[2295] = 16'hd8ee;
2455
RsqrteLUT[2296] = 16'hd8eb;
2456
RsqrteLUT[2297] = 16'hd8e8;
2457
RsqrteLUT[2298] = 16'hd8e5;
2458
RsqrteLUT[2299] = 16'hd8e2;
2459
RsqrteLUT[2300] = 16'hd8df;
2460
RsqrteLUT[2301] = 16'hd8dc;
2461
RsqrteLUT[2302] = 16'hd8d9;
2462
RsqrteLUT[2303] = 16'hd8d6;
2463
RsqrteLUT[2304] = 16'hd8d4;
2464
RsqrteLUT[2305] = 16'hd8ce;
2465
RsqrteLUT[2306] = 16'hd8c9;
2466
RsqrteLUT[2307] = 16'hd8c3;
2467
RsqrteLUT[2308] = 16'hd8be;
2468
RsqrteLUT[2309] = 16'hd8b9;
2469
RsqrteLUT[2310] = 16'hd8b4;
2470
RsqrteLUT[2311] = 16'hd8af;
2471
RsqrteLUT[2312] = 16'hd8aa;
2472
RsqrteLUT[2313] = 16'hd8a5;
2473
RsqrteLUT[2314] = 16'hd8a1;
2474
RsqrteLUT[2315] = 16'hd89c;
2475
RsqrteLUT[2316] = 16'hd898;
2476
RsqrteLUT[2317] = 16'hd894;
2477
RsqrteLUT[2318] = 16'hd88f;
2478
RsqrteLUT[2319] = 16'hd88b;
2479
RsqrteLUT[2320] = 16'hd887;
2480
RsqrteLUT[2321] = 16'hd883;
2481
RsqrteLUT[2322] = 16'hd87f;
2482
RsqrteLUT[2323] = 16'hd87b;
2483
RsqrteLUT[2324] = 16'hd878;
2484
RsqrteLUT[2325] = 16'hd874;
2485
RsqrteLUT[2326] = 16'hd870;
2486
RsqrteLUT[2327] = 16'hd86d;
2487
RsqrteLUT[2328] = 16'hd869;
2488
RsqrteLUT[2329] = 16'hd866;
2489
RsqrteLUT[2330] = 16'hd862;
2490
RsqrteLUT[2331] = 16'hd85f;
2491
RsqrteLUT[2332] = 16'hd85b;
2492
RsqrteLUT[2333] = 16'hd858;
2493
RsqrteLUT[2334] = 16'hd855;
2494
RsqrteLUT[2335] = 16'hd852;
2495
RsqrteLUT[2336] = 16'hd84f;
2496
RsqrteLUT[2337] = 16'hd84c;
2497
RsqrteLUT[2338] = 16'hd849;
2498
RsqrteLUT[2339] = 16'hd846;
2499
RsqrteLUT[2340] = 16'hd843;
2500
RsqrteLUT[2341] = 16'hd840;
2501
RsqrteLUT[2342] = 16'hd83d;
2502
RsqrteLUT[2343] = 16'hd83a;
2503
RsqrteLUT[2344] = 16'hd838;
2504
RsqrteLUT[2345] = 16'hd835;
2505
RsqrteLUT[2346] = 16'hd832;
2506
RsqrteLUT[2347] = 16'hd82f;
2507
RsqrteLUT[2348] = 16'hd82d;
2508
RsqrteLUT[2349] = 16'hd82a;
2509
RsqrteLUT[2350] = 16'hd828;
2510
RsqrteLUT[2351] = 16'hd825;
2511
RsqrteLUT[2352] = 16'hd823;
2512
RsqrteLUT[2353] = 16'hd820;
2513
RsqrteLUT[2354] = 16'hd81e;
2514
RsqrteLUT[2355] = 16'hd81c;
2515
RsqrteLUT[2356] = 16'hd819;
2516
RsqrteLUT[2357] = 16'hd817;
2517
RsqrteLUT[2358] = 16'hd815;
2518
RsqrteLUT[2359] = 16'hd813;
2519
RsqrteLUT[2360] = 16'hd810;
2520
RsqrteLUT[2361] = 16'hd80e;
2521
RsqrteLUT[2362] = 16'hd80c;
2522
RsqrteLUT[2363] = 16'hd80a;
2523
RsqrteLUT[2364] = 16'hd808;
2524
RsqrteLUT[2365] = 16'hd806;
2525
RsqrteLUT[2366] = 16'hd804;
2526
RsqrteLUT[2367] = 16'hd802;
2527
RsqrteLUT[2368] = 16'hd800;
2528
RsqrteLUT[2369] = 16'hd7f8;
2529
RsqrteLUT[2370] = 16'hd7f0;
2530
RsqrteLUT[2371] = 16'hd7e8;
2531
RsqrteLUT[2372] = 16'hd7e1;
2532
RsqrteLUT[2373] = 16'hd7da;
2533
RsqrteLUT[2374] = 16'hd7d3;
2534
RsqrteLUT[2375] = 16'hd7cc;
2535
RsqrteLUT[2376] = 16'hd7c5;
2536
RsqrteLUT[2377] = 16'hd7be;
2537
RsqrteLUT[2378] = 16'hd7b8;
2538
RsqrteLUT[2379] = 16'hd7b1;
2539
RsqrteLUT[2380] = 16'hd7ab;
2540
RsqrteLUT[2381] = 16'hd7a5;
2541
RsqrteLUT[2382] = 16'hd79f;
2542
RsqrteLUT[2383] = 16'hd799;
2543
RsqrteLUT[2384] = 16'hd793;
2544
RsqrteLUT[2385] = 16'hd78e;
2545
RsqrteLUT[2386] = 16'hd788;
2546
RsqrteLUT[2387] = 16'hd783;
2547
RsqrteLUT[2388] = 16'hd77d;
2548
RsqrteLUT[2389] = 16'hd778;
2549
RsqrteLUT[2390] = 16'hd773;
2550
RsqrteLUT[2391] = 16'hd76e;
2551
RsqrteLUT[2392] = 16'hd769;
2552
RsqrteLUT[2393] = 16'hd764;
2553
RsqrteLUT[2394] = 16'hd75f;
2554
RsqrteLUT[2395] = 16'hd75a;
2555
RsqrteLUT[2396] = 16'hd756;
2556
RsqrteLUT[2397] = 16'hd751;
2557
RsqrteLUT[2398] = 16'hd74c;
2558
RsqrteLUT[2399] = 16'hd748;
2559
RsqrteLUT[2400] = 16'hd744;
2560
RsqrteLUT[2401] = 16'hd73f;
2561
RsqrteLUT[2402] = 16'hd73b;
2562
RsqrteLUT[2403] = 16'hd737;
2563
RsqrteLUT[2404] = 16'hd733;
2564
RsqrteLUT[2405] = 16'hd72f;
2565
RsqrteLUT[2406] = 16'hd72b;
2566
RsqrteLUT[2407] = 16'hd727;
2567
RsqrteLUT[2408] = 16'hd723;
2568
RsqrteLUT[2409] = 16'hd71f;
2569
RsqrteLUT[2410] = 16'hd71b;
2570
RsqrteLUT[2411] = 16'hd717;
2571
RsqrteLUT[2412] = 16'hd714;
2572
RsqrteLUT[2413] = 16'hd710;
2573
RsqrteLUT[2414] = 16'hd70d;
2574
RsqrteLUT[2415] = 16'hd709;
2575
RsqrteLUT[2416] = 16'hd706;
2576
RsqrteLUT[2417] = 16'hd702;
2577
RsqrteLUT[2418] = 16'hd6ff;
2578
RsqrteLUT[2419] = 16'hd6fb;
2579
RsqrteLUT[2420] = 16'hd6f8;
2580
RsqrteLUT[2421] = 16'hd6f5;
2581
RsqrteLUT[2422] = 16'hd6f2;
2582
RsqrteLUT[2423] = 16'hd6ee;
2583
RsqrteLUT[2424] = 16'hd6eb;
2584
RsqrteLUT[2425] = 16'hd6e8;
2585
RsqrteLUT[2426] = 16'hd6e5;
2586
RsqrteLUT[2427] = 16'hd6e2;
2587
RsqrteLUT[2428] = 16'hd6df;
2588
RsqrteLUT[2429] = 16'hd6dc;
2589
RsqrteLUT[2430] = 16'hd6d9;
2590
RsqrteLUT[2431] = 16'hd6d6;
2591
RsqrteLUT[2432] = 16'hd6d4;
2592
RsqrteLUT[2433] = 16'hd6ce;
2593
RsqrteLUT[2434] = 16'hd6c9;
2594
RsqrteLUT[2435] = 16'hd6c3;
2595
RsqrteLUT[2436] = 16'hd6be;
2596
RsqrteLUT[2437] = 16'hd6b9;
2597
RsqrteLUT[2438] = 16'hd6b4;
2598
RsqrteLUT[2439] = 16'hd6af;
2599
RsqrteLUT[2440] = 16'hd6aa;
2600
RsqrteLUT[2441] = 16'hd6a5;
2601
RsqrteLUT[2442] = 16'hd6a1;
2602
RsqrteLUT[2443] = 16'hd69c;
2603
RsqrteLUT[2444] = 16'hd698;
2604
RsqrteLUT[2445] = 16'hd694;
2605
RsqrteLUT[2446] = 16'hd68f;
2606
RsqrteLUT[2447] = 16'hd68b;
2607
RsqrteLUT[2448] = 16'hd687;
2608
RsqrteLUT[2449] = 16'hd683;
2609
RsqrteLUT[2450] = 16'hd67f;
2610
RsqrteLUT[2451] = 16'hd67b;
2611
RsqrteLUT[2452] = 16'hd678;
2612
RsqrteLUT[2453] = 16'hd674;
2613
RsqrteLUT[2454] = 16'hd670;
2614
RsqrteLUT[2455] = 16'hd66d;
2615
RsqrteLUT[2456] = 16'hd669;
2616
RsqrteLUT[2457] = 16'hd666;
2617
RsqrteLUT[2458] = 16'hd662;
2618
RsqrteLUT[2459] = 16'hd65f;
2619
RsqrteLUT[2460] = 16'hd65b;
2620
RsqrteLUT[2461] = 16'hd658;
2621
RsqrteLUT[2462] = 16'hd655;
2622
RsqrteLUT[2463] = 16'hd652;
2623
RsqrteLUT[2464] = 16'hd64f;
2624
RsqrteLUT[2465] = 16'hd64c;
2625
RsqrteLUT[2466] = 16'hd649;
2626
RsqrteLUT[2467] = 16'hd646;
2627
RsqrteLUT[2468] = 16'hd643;
2628
RsqrteLUT[2469] = 16'hd640;
2629
RsqrteLUT[2470] = 16'hd63d;
2630
RsqrteLUT[2471] = 16'hd63a;
2631
RsqrteLUT[2472] = 16'hd638;
2632
RsqrteLUT[2473] = 16'hd635;
2633
RsqrteLUT[2474] = 16'hd632;
2634
RsqrteLUT[2475] = 16'hd62f;
2635
RsqrteLUT[2476] = 16'hd62d;
2636
RsqrteLUT[2477] = 16'hd62a;
2637
RsqrteLUT[2478] = 16'hd628;
2638
RsqrteLUT[2479] = 16'hd625;
2639
RsqrteLUT[2480] = 16'hd623;
2640
RsqrteLUT[2481] = 16'hd620;
2641
RsqrteLUT[2482] = 16'hd61e;
2642
RsqrteLUT[2483] = 16'hd61c;
2643
RsqrteLUT[2484] = 16'hd619;
2644
RsqrteLUT[2485] = 16'hd617;
2645
RsqrteLUT[2486] = 16'hd615;
2646
RsqrteLUT[2487] = 16'hd613;
2647
RsqrteLUT[2488] = 16'hd610;
2648
RsqrteLUT[2489] = 16'hd60e;
2649
RsqrteLUT[2490] = 16'hd60c;
2650
RsqrteLUT[2491] = 16'hd60a;
2651
RsqrteLUT[2492] = 16'hd608;
2652
RsqrteLUT[2493] = 16'hd606;
2653
RsqrteLUT[2494] = 16'hd604;
2654
RsqrteLUT[2495] = 16'hd602;
2655
RsqrteLUT[2496] = 16'hd600;
2656
RsqrteLUT[2497] = 16'hd5f8;
2657
RsqrteLUT[2498] = 16'hd5f0;
2658
RsqrteLUT[2499] = 16'hd5e8;
2659
RsqrteLUT[2500] = 16'hd5e1;
2660
RsqrteLUT[2501] = 16'hd5da;
2661
RsqrteLUT[2502] = 16'hd5d3;
2662
RsqrteLUT[2503] = 16'hd5cc;
2663
RsqrteLUT[2504] = 16'hd5c5;
2664
RsqrteLUT[2505] = 16'hd5be;
2665
RsqrteLUT[2506] = 16'hd5b8;
2666
RsqrteLUT[2507] = 16'hd5b1;
2667
RsqrteLUT[2508] = 16'hd5ab;
2668
RsqrteLUT[2509] = 16'hd5a5;
2669
RsqrteLUT[2510] = 16'hd59f;
2670
RsqrteLUT[2511] = 16'hd599;
2671
RsqrteLUT[2512] = 16'hd593;
2672
RsqrteLUT[2513] = 16'hd58e;
2673
RsqrteLUT[2514] = 16'hd588;
2674
RsqrteLUT[2515] = 16'hd583;
2675
RsqrteLUT[2516] = 16'hd57d;
2676
RsqrteLUT[2517] = 16'hd578;
2677
RsqrteLUT[2518] = 16'hd573;
2678
RsqrteLUT[2519] = 16'hd56e;
2679
RsqrteLUT[2520] = 16'hd569;
2680
RsqrteLUT[2521] = 16'hd564;
2681
RsqrteLUT[2522] = 16'hd55f;
2682
RsqrteLUT[2523] = 16'hd55a;
2683
RsqrteLUT[2524] = 16'hd556;
2684
RsqrteLUT[2525] = 16'hd551;
2685
RsqrteLUT[2526] = 16'hd54c;
2686
RsqrteLUT[2527] = 16'hd548;
2687
RsqrteLUT[2528] = 16'hd544;
2688
RsqrteLUT[2529] = 16'hd53f;
2689
RsqrteLUT[2530] = 16'hd53b;
2690
RsqrteLUT[2531] = 16'hd537;
2691
RsqrteLUT[2532] = 16'hd533;
2692
RsqrteLUT[2533] = 16'hd52f;
2693
RsqrteLUT[2534] = 16'hd52b;
2694
RsqrteLUT[2535] = 16'hd527;
2695
RsqrteLUT[2536] = 16'hd523;
2696
RsqrteLUT[2537] = 16'hd51f;
2697
RsqrteLUT[2538] = 16'hd51b;
2698
RsqrteLUT[2539] = 16'hd517;
2699
RsqrteLUT[2540] = 16'hd514;
2700
RsqrteLUT[2541] = 16'hd510;
2701
RsqrteLUT[2542] = 16'hd50d;
2702
RsqrteLUT[2543] = 16'hd509;
2703
RsqrteLUT[2544] = 16'hd506;
2704
RsqrteLUT[2545] = 16'hd502;
2705
RsqrteLUT[2546] = 16'hd4ff;
2706
RsqrteLUT[2547] = 16'hd4fb;
2707
RsqrteLUT[2548] = 16'hd4f8;
2708
RsqrteLUT[2549] = 16'hd4f5;
2709
RsqrteLUT[2550] = 16'hd4f2;
2710
RsqrteLUT[2551] = 16'hd4ee;
2711
RsqrteLUT[2552] = 16'hd4eb;
2712
RsqrteLUT[2553] = 16'hd4e8;
2713
RsqrteLUT[2554] = 16'hd4e5;
2714
RsqrteLUT[2555] = 16'hd4e2;
2715
RsqrteLUT[2556] = 16'hd4df;
2716
RsqrteLUT[2557] = 16'hd4dc;
2717
RsqrteLUT[2558] = 16'hd4d9;
2718
RsqrteLUT[2559] = 16'hd4d6;
2719
RsqrteLUT[2560] = 16'hd4d4;
2720
RsqrteLUT[2561] = 16'hd4ce;
2721
RsqrteLUT[2562] = 16'hd4c9;
2722
RsqrteLUT[2563] = 16'hd4c3;
2723
RsqrteLUT[2564] = 16'hd4be;
2724
RsqrteLUT[2565] = 16'hd4b9;
2725
RsqrteLUT[2566] = 16'hd4b4;
2726
RsqrteLUT[2567] = 16'hd4af;
2727
RsqrteLUT[2568] = 16'hd4aa;
2728
RsqrteLUT[2569] = 16'hd4a5;
2729
RsqrteLUT[2570] = 16'hd4a1;
2730
RsqrteLUT[2571] = 16'hd49c;
2731
RsqrteLUT[2572] = 16'hd498;
2732
RsqrteLUT[2573] = 16'hd494;
2733
RsqrteLUT[2574] = 16'hd48f;
2734
RsqrteLUT[2575] = 16'hd48b;
2735
RsqrteLUT[2576] = 16'hd487;
2736
RsqrteLUT[2577] = 16'hd483;
2737
RsqrteLUT[2578] = 16'hd47f;
2738
RsqrteLUT[2579] = 16'hd47b;
2739
RsqrteLUT[2580] = 16'hd478;
2740
RsqrteLUT[2581] = 16'hd474;
2741
RsqrteLUT[2582] = 16'hd470;
2742
RsqrteLUT[2583] = 16'hd46d;
2743
RsqrteLUT[2584] = 16'hd469;
2744
RsqrteLUT[2585] = 16'hd466;
2745
RsqrteLUT[2586] = 16'hd462;
2746
RsqrteLUT[2587] = 16'hd45f;
2747
RsqrteLUT[2588] = 16'hd45b;
2748
RsqrteLUT[2589] = 16'hd458;
2749
RsqrteLUT[2590] = 16'hd455;
2750
RsqrteLUT[2591] = 16'hd452;
2751
RsqrteLUT[2592] = 16'hd44f;
2752
RsqrteLUT[2593] = 16'hd44c;
2753
RsqrteLUT[2594] = 16'hd449;
2754
RsqrteLUT[2595] = 16'hd446;
2755
RsqrteLUT[2596] = 16'hd443;
2756
RsqrteLUT[2597] = 16'hd440;
2757
RsqrteLUT[2598] = 16'hd43d;
2758
RsqrteLUT[2599] = 16'hd43a;
2759
RsqrteLUT[2600] = 16'hd438;
2760
RsqrteLUT[2601] = 16'hd435;
2761
RsqrteLUT[2602] = 16'hd432;
2762
RsqrteLUT[2603] = 16'hd42f;
2763
RsqrteLUT[2604] = 16'hd42d;
2764
RsqrteLUT[2605] = 16'hd42a;
2765
RsqrteLUT[2606] = 16'hd428;
2766
RsqrteLUT[2607] = 16'hd425;
2767
RsqrteLUT[2608] = 16'hd423;
2768
RsqrteLUT[2609] = 16'hd420;
2769
RsqrteLUT[2610] = 16'hd41e;
2770
RsqrteLUT[2611] = 16'hd41c;
2771
RsqrteLUT[2612] = 16'hd419;
2772
RsqrteLUT[2613] = 16'hd417;
2773
RsqrteLUT[2614] = 16'hd415;
2774
RsqrteLUT[2615] = 16'hd413;
2775
RsqrteLUT[2616] = 16'hd410;
2776
RsqrteLUT[2617] = 16'hd40e;
2777
RsqrteLUT[2618] = 16'hd40c;
2778
RsqrteLUT[2619] = 16'hd40a;
2779
RsqrteLUT[2620] = 16'hd408;
2780
RsqrteLUT[2621] = 16'hd406;
2781
RsqrteLUT[2622] = 16'hd404;
2782
RsqrteLUT[2623] = 16'hd402;
2783
RsqrteLUT[2624] = 16'hd400;
2784
RsqrteLUT[2625] = 16'hd3f8;
2785
RsqrteLUT[2626] = 16'hd3f0;
2786
RsqrteLUT[2627] = 16'hd3e8;
2787
RsqrteLUT[2628] = 16'hd3e1;
2788
RsqrteLUT[2629] = 16'hd3da;
2789
RsqrteLUT[2630] = 16'hd3d3;
2790
RsqrteLUT[2631] = 16'hd3cc;
2791
RsqrteLUT[2632] = 16'hd3c5;
2792
RsqrteLUT[2633] = 16'hd3be;
2793
RsqrteLUT[2634] = 16'hd3b8;
2794
RsqrteLUT[2635] = 16'hd3b1;
2795
RsqrteLUT[2636] = 16'hd3ab;
2796
RsqrteLUT[2637] = 16'hd3a5;
2797
RsqrteLUT[2638] = 16'hd39f;
2798
RsqrteLUT[2639] = 16'hd399;
2799
RsqrteLUT[2640] = 16'hd393;
2800
RsqrteLUT[2641] = 16'hd38e;
2801
RsqrteLUT[2642] = 16'hd388;
2802
RsqrteLUT[2643] = 16'hd383;
2803
RsqrteLUT[2644] = 16'hd37d;
2804
RsqrteLUT[2645] = 16'hd378;
2805
RsqrteLUT[2646] = 16'hd373;
2806
RsqrteLUT[2647] = 16'hd36e;
2807
RsqrteLUT[2648] = 16'hd369;
2808
RsqrteLUT[2649] = 16'hd364;
2809
RsqrteLUT[2650] = 16'hd35f;
2810
RsqrteLUT[2651] = 16'hd35a;
2811
RsqrteLUT[2652] = 16'hd356;
2812
RsqrteLUT[2653] = 16'hd351;
2813
RsqrteLUT[2654] = 16'hd34c;
2814
RsqrteLUT[2655] = 16'hd348;
2815
RsqrteLUT[2656] = 16'hd344;
2816
RsqrteLUT[2657] = 16'hd33f;
2817
RsqrteLUT[2658] = 16'hd33b;
2818
RsqrteLUT[2659] = 16'hd337;
2819
RsqrteLUT[2660] = 16'hd333;
2820
RsqrteLUT[2661] = 16'hd32f;
2821
RsqrteLUT[2662] = 16'hd32b;
2822
RsqrteLUT[2663] = 16'hd327;
2823
RsqrteLUT[2664] = 16'hd323;
2824
RsqrteLUT[2665] = 16'hd31f;
2825
RsqrteLUT[2666] = 16'hd31b;
2826
RsqrteLUT[2667] = 16'hd317;
2827
RsqrteLUT[2668] = 16'hd314;
2828
RsqrteLUT[2669] = 16'hd310;
2829
RsqrteLUT[2670] = 16'hd30d;
2830
RsqrteLUT[2671] = 16'hd309;
2831
RsqrteLUT[2672] = 16'hd306;
2832
RsqrteLUT[2673] = 16'hd302;
2833
RsqrteLUT[2674] = 16'hd2ff;
2834
RsqrteLUT[2675] = 16'hd2fb;
2835
RsqrteLUT[2676] = 16'hd2f8;
2836
RsqrteLUT[2677] = 16'hd2f5;
2837
RsqrteLUT[2678] = 16'hd2f2;
2838
RsqrteLUT[2679] = 16'hd2ee;
2839
RsqrteLUT[2680] = 16'hd2eb;
2840
RsqrteLUT[2681] = 16'hd2e8;
2841
RsqrteLUT[2682] = 16'hd2e5;
2842
RsqrteLUT[2683] = 16'hd2e2;
2843
RsqrteLUT[2684] = 16'hd2df;
2844
RsqrteLUT[2685] = 16'hd2dc;
2845
RsqrteLUT[2686] = 16'hd2d9;
2846
RsqrteLUT[2687] = 16'hd2d6;
2847
RsqrteLUT[2688] = 16'hd2d4;
2848
RsqrteLUT[2689] = 16'hd2ce;
2849
RsqrteLUT[2690] = 16'hd2c9;
2850
RsqrteLUT[2691] = 16'hd2c3;
2851
RsqrteLUT[2692] = 16'hd2be;
2852
RsqrteLUT[2693] = 16'hd2b9;
2853
RsqrteLUT[2694] = 16'hd2b4;
2854
RsqrteLUT[2695] = 16'hd2af;
2855
RsqrteLUT[2696] = 16'hd2aa;
2856
RsqrteLUT[2697] = 16'hd2a5;
2857
RsqrteLUT[2698] = 16'hd2a1;
2858
RsqrteLUT[2699] = 16'hd29c;
2859
RsqrteLUT[2700] = 16'hd298;
2860
RsqrteLUT[2701] = 16'hd294;
2861
RsqrteLUT[2702] = 16'hd28f;
2862
RsqrteLUT[2703] = 16'hd28b;
2863
RsqrteLUT[2704] = 16'hd287;
2864
RsqrteLUT[2705] = 16'hd283;
2865
RsqrteLUT[2706] = 16'hd27f;
2866
RsqrteLUT[2707] = 16'hd27b;
2867
RsqrteLUT[2708] = 16'hd278;
2868
RsqrteLUT[2709] = 16'hd274;
2869
RsqrteLUT[2710] = 16'hd270;
2870
RsqrteLUT[2711] = 16'hd26d;
2871
RsqrteLUT[2712] = 16'hd269;
2872
RsqrteLUT[2713] = 16'hd266;
2873
RsqrteLUT[2714] = 16'hd262;
2874
RsqrteLUT[2715] = 16'hd25f;
2875
RsqrteLUT[2716] = 16'hd25b;
2876
RsqrteLUT[2717] = 16'hd258;
2877
RsqrteLUT[2718] = 16'hd255;
2878
RsqrteLUT[2719] = 16'hd252;
2879
RsqrteLUT[2720] = 16'hd24f;
2880
RsqrteLUT[2721] = 16'hd24c;
2881
RsqrteLUT[2722] = 16'hd249;
2882
RsqrteLUT[2723] = 16'hd246;
2883
RsqrteLUT[2724] = 16'hd243;
2884
RsqrteLUT[2725] = 16'hd240;
2885
RsqrteLUT[2726] = 16'hd23d;
2886
RsqrteLUT[2727] = 16'hd23a;
2887
RsqrteLUT[2728] = 16'hd238;
2888
RsqrteLUT[2729] = 16'hd235;
2889
RsqrteLUT[2730] = 16'hd232;
2890
RsqrteLUT[2731] = 16'hd22f;
2891
RsqrteLUT[2732] = 16'hd22d;
2892
RsqrteLUT[2733] = 16'hd22a;
2893
RsqrteLUT[2734] = 16'hd228;
2894
RsqrteLUT[2735] = 16'hd225;
2895
RsqrteLUT[2736] = 16'hd223;
2896
RsqrteLUT[2737] = 16'hd220;
2897
RsqrteLUT[2738] = 16'hd21e;
2898
RsqrteLUT[2739] = 16'hd21c;
2899
RsqrteLUT[2740] = 16'hd219;
2900
RsqrteLUT[2741] = 16'hd217;
2901
RsqrteLUT[2742] = 16'hd215;
2902
RsqrteLUT[2743] = 16'hd213;
2903
RsqrteLUT[2744] = 16'hd210;
2904
RsqrteLUT[2745] = 16'hd20e;
2905
RsqrteLUT[2746] = 16'hd20c;
2906
RsqrteLUT[2747] = 16'hd20a;
2907
RsqrteLUT[2748] = 16'hd208;
2908
RsqrteLUT[2749] = 16'hd206;
2909
RsqrteLUT[2750] = 16'hd204;
2910
RsqrteLUT[2751] = 16'hd202;
2911
RsqrteLUT[2752] = 16'hd200;
2912
RsqrteLUT[2753] = 16'hd1f8;
2913
RsqrteLUT[2754] = 16'hd1f0;
2914
RsqrteLUT[2755] = 16'hd1e8;
2915
RsqrteLUT[2756] = 16'hd1e1;
2916
RsqrteLUT[2757] = 16'hd1da;
2917
RsqrteLUT[2758] = 16'hd1d3;
2918
RsqrteLUT[2759] = 16'hd1cc;
2919
RsqrteLUT[2760] = 16'hd1c5;
2920
RsqrteLUT[2761] = 16'hd1be;
2921
RsqrteLUT[2762] = 16'hd1b8;
2922
RsqrteLUT[2763] = 16'hd1b1;
2923
RsqrteLUT[2764] = 16'hd1ab;
2924
RsqrteLUT[2765] = 16'hd1a5;
2925
RsqrteLUT[2766] = 16'hd19f;
2926
RsqrteLUT[2767] = 16'hd199;
2927
RsqrteLUT[2768] = 16'hd193;
2928
RsqrteLUT[2769] = 16'hd18e;
2929
RsqrteLUT[2770] = 16'hd188;
2930
RsqrteLUT[2771] = 16'hd183;
2931
RsqrteLUT[2772] = 16'hd17d;
2932
RsqrteLUT[2773] = 16'hd178;
2933
RsqrteLUT[2774] = 16'hd173;
2934
RsqrteLUT[2775] = 16'hd16e;
2935
RsqrteLUT[2776] = 16'hd169;
2936
RsqrteLUT[2777] = 16'hd164;
2937
RsqrteLUT[2778] = 16'hd15f;
2938
RsqrteLUT[2779] = 16'hd15a;
2939
RsqrteLUT[2780] = 16'hd156;
2940
RsqrteLUT[2781] = 16'hd151;
2941
RsqrteLUT[2782] = 16'hd14c;
2942
RsqrteLUT[2783] = 16'hd148;
2943
RsqrteLUT[2784] = 16'hd144;
2944
RsqrteLUT[2785] = 16'hd13f;
2945
RsqrteLUT[2786] = 16'hd13b;
2946
RsqrteLUT[2787] = 16'hd137;
2947
RsqrteLUT[2788] = 16'hd133;
2948
RsqrteLUT[2789] = 16'hd12f;
2949
RsqrteLUT[2790] = 16'hd12b;
2950
RsqrteLUT[2791] = 16'hd127;
2951
RsqrteLUT[2792] = 16'hd123;
2952
RsqrteLUT[2793] = 16'hd11f;
2953
RsqrteLUT[2794] = 16'hd11b;
2954
RsqrteLUT[2795] = 16'hd117;
2955
RsqrteLUT[2796] = 16'hd114;
2956
RsqrteLUT[2797] = 16'hd110;
2957
RsqrteLUT[2798] = 16'hd10d;
2958
RsqrteLUT[2799] = 16'hd109;
2959
RsqrteLUT[2800] = 16'hd106;
2960
RsqrteLUT[2801] = 16'hd102;
2961
RsqrteLUT[2802] = 16'hd0ff;
2962
RsqrteLUT[2803] = 16'hd0fb;
2963
RsqrteLUT[2804] = 16'hd0f8;
2964
RsqrteLUT[2805] = 16'hd0f5;
2965
RsqrteLUT[2806] = 16'hd0f2;
2966
RsqrteLUT[2807] = 16'hd0ee;
2967
RsqrteLUT[2808] = 16'hd0eb;
2968
RsqrteLUT[2809] = 16'hd0e8;
2969
RsqrteLUT[2810] = 16'hd0e5;
2970
RsqrteLUT[2811] = 16'hd0e2;
2971
RsqrteLUT[2812] = 16'hd0df;
2972
RsqrteLUT[2813] = 16'hd0dc;
2973
RsqrteLUT[2814] = 16'hd0d9;
2974
RsqrteLUT[2815] = 16'hd0d6;
2975
RsqrteLUT[2816] = 16'hd0d4;
2976
RsqrteLUT[2817] = 16'hd0ce;
2977
RsqrteLUT[2818] = 16'hd0c9;
2978
RsqrteLUT[2819] = 16'hd0c3;
2979
RsqrteLUT[2820] = 16'hd0be;
2980
RsqrteLUT[2821] = 16'hd0b9;
2981
RsqrteLUT[2822] = 16'hd0b4;
2982
RsqrteLUT[2823] = 16'hd0af;
2983
RsqrteLUT[2824] = 16'hd0aa;
2984
RsqrteLUT[2825] = 16'hd0a5;
2985
RsqrteLUT[2826] = 16'hd0a1;
2986
RsqrteLUT[2827] = 16'hd09c;
2987
RsqrteLUT[2828] = 16'hd098;
2988
RsqrteLUT[2829] = 16'hd094;
2989
RsqrteLUT[2830] = 16'hd08f;
2990
RsqrteLUT[2831] = 16'hd08b;
2991
RsqrteLUT[2832] = 16'hd087;
2992
RsqrteLUT[2833] = 16'hd083;
2993
RsqrteLUT[2834] = 16'hd07f;
2994
RsqrteLUT[2835] = 16'hd07b;
2995
RsqrteLUT[2836] = 16'hd078;
2996
RsqrteLUT[2837] = 16'hd074;
2997
RsqrteLUT[2838] = 16'hd070;
2998
RsqrteLUT[2839] = 16'hd06d;
2999
RsqrteLUT[2840] = 16'hd069;
3000
RsqrteLUT[2841] = 16'hd066;
3001
RsqrteLUT[2842] = 16'hd062;
3002
RsqrteLUT[2843] = 16'hd05f;
3003
RsqrteLUT[2844] = 16'hd05b;
3004
RsqrteLUT[2845] = 16'hd058;
3005
RsqrteLUT[2846] = 16'hd055;
3006
RsqrteLUT[2847] = 16'hd052;
3007
RsqrteLUT[2848] = 16'hd04f;
3008
RsqrteLUT[2849] = 16'hd04c;
3009
RsqrteLUT[2850] = 16'hd049;
3010
RsqrteLUT[2851] = 16'hd046;
3011
RsqrteLUT[2852] = 16'hd043;
3012
RsqrteLUT[2853] = 16'hd040;
3013
RsqrteLUT[2854] = 16'hd03d;
3014
RsqrteLUT[2855] = 16'hd03a;
3015
RsqrteLUT[2856] = 16'hd038;
3016
RsqrteLUT[2857] = 16'hd035;
3017
RsqrteLUT[2858] = 16'hd032;
3018
RsqrteLUT[2859] = 16'hd02f;
3019
RsqrteLUT[2860] = 16'hd02d;
3020
RsqrteLUT[2861] = 16'hd02a;
3021
RsqrteLUT[2862] = 16'hd028;
3022
RsqrteLUT[2863] = 16'hd025;
3023
RsqrteLUT[2864] = 16'hd023;
3024
RsqrteLUT[2865] = 16'hd020;
3025
RsqrteLUT[2866] = 16'hd01e;
3026
RsqrteLUT[2867] = 16'hd01c;
3027
RsqrteLUT[2868] = 16'hd019;
3028
RsqrteLUT[2869] = 16'hd017;
3029
RsqrteLUT[2870] = 16'hd015;
3030
RsqrteLUT[2871] = 16'hd013;
3031
RsqrteLUT[2872] = 16'hd010;
3032
RsqrteLUT[2873] = 16'hd00e;
3033
RsqrteLUT[2874] = 16'hd00c;
3034
RsqrteLUT[2875] = 16'hd00a;
3035
RsqrteLUT[2876] = 16'hd008;
3036
RsqrteLUT[2877] = 16'hd006;
3037
RsqrteLUT[2878] = 16'hd004;
3038
RsqrteLUT[2879] = 16'hd002;
3039
RsqrteLUT[2880] = 16'hd000;
3040
RsqrteLUT[2881] = 16'hcff8;
3041
RsqrteLUT[2882] = 16'hcff0;
3042
RsqrteLUT[2883] = 16'hcfe8;
3043
RsqrteLUT[2884] = 16'hcfe1;
3044
RsqrteLUT[2885] = 16'hcfda;
3045
RsqrteLUT[2886] = 16'hcfd3;
3046
RsqrteLUT[2887] = 16'hcfcc;
3047
RsqrteLUT[2888] = 16'hcfc5;
3048
RsqrteLUT[2889] = 16'hcfbe;
3049
RsqrteLUT[2890] = 16'hcfb8;
3050
RsqrteLUT[2891] = 16'hcfb1;
3051
RsqrteLUT[2892] = 16'hcfab;
3052
RsqrteLUT[2893] = 16'hcfa5;
3053
RsqrteLUT[2894] = 16'hcf9f;
3054
RsqrteLUT[2895] = 16'hcf99;
3055
RsqrteLUT[2896] = 16'hcf93;
3056
RsqrteLUT[2897] = 16'hcf8e;
3057
RsqrteLUT[2898] = 16'hcf88;
3058
RsqrteLUT[2899] = 16'hcf83;
3059
RsqrteLUT[2900] = 16'hcf7d;
3060
RsqrteLUT[2901] = 16'hcf78;
3061
RsqrteLUT[2902] = 16'hcf73;
3062
RsqrteLUT[2903] = 16'hcf6e;
3063
RsqrteLUT[2904] = 16'hcf69;
3064
RsqrteLUT[2905] = 16'hcf64;
3065
RsqrteLUT[2906] = 16'hcf5f;
3066
RsqrteLUT[2907] = 16'hcf5a;
3067
RsqrteLUT[2908] = 16'hcf56;
3068
RsqrteLUT[2909] = 16'hcf51;
3069
RsqrteLUT[2910] = 16'hcf4c;
3070
RsqrteLUT[2911] = 16'hcf48;
3071
RsqrteLUT[2912] = 16'hcf44;
3072
RsqrteLUT[2913] = 16'hcf3f;
3073
RsqrteLUT[2914] = 16'hcf3b;
3074
RsqrteLUT[2915] = 16'hcf37;
3075
RsqrteLUT[2916] = 16'hcf33;
3076
RsqrteLUT[2917] = 16'hcf2f;
3077
RsqrteLUT[2918] = 16'hcf2b;
3078
RsqrteLUT[2919] = 16'hcf27;
3079
RsqrteLUT[2920] = 16'hcf23;
3080
RsqrteLUT[2921] = 16'hcf1f;
3081
RsqrteLUT[2922] = 16'hcf1b;
3082
RsqrteLUT[2923] = 16'hcf17;
3083
RsqrteLUT[2924] = 16'hcf14;
3084
RsqrteLUT[2925] = 16'hcf10;
3085
RsqrteLUT[2926] = 16'hcf0d;
3086
RsqrteLUT[2927] = 16'hcf09;
3087
RsqrteLUT[2928] = 16'hcf06;
3088
RsqrteLUT[2929] = 16'hcf02;
3089
RsqrteLUT[2930] = 16'hceff;
3090
RsqrteLUT[2931] = 16'hcefb;
3091
RsqrteLUT[2932] = 16'hcef8;
3092
RsqrteLUT[2933] = 16'hcef5;
3093
RsqrteLUT[2934] = 16'hcef2;
3094
RsqrteLUT[2935] = 16'hceee;
3095
RsqrteLUT[2936] = 16'hceeb;
3096
RsqrteLUT[2937] = 16'hcee8;
3097
RsqrteLUT[2938] = 16'hcee5;
3098
RsqrteLUT[2939] = 16'hcee2;
3099
RsqrteLUT[2940] = 16'hcedf;
3100
RsqrteLUT[2941] = 16'hcedc;
3101
RsqrteLUT[2942] = 16'hced9;
3102
RsqrteLUT[2943] = 16'hced6;
3103
RsqrteLUT[2944] = 16'hced4;
3104
RsqrteLUT[2945] = 16'hcece;
3105
RsqrteLUT[2946] = 16'hcec9;
3106
RsqrteLUT[2947] = 16'hcec3;
3107
RsqrteLUT[2948] = 16'hcebe;
3108
RsqrteLUT[2949] = 16'hceb9;
3109
RsqrteLUT[2950] = 16'hceb4;
3110
RsqrteLUT[2951] = 16'hceaf;
3111
RsqrteLUT[2952] = 16'hceaa;
3112
RsqrteLUT[2953] = 16'hcea5;
3113
RsqrteLUT[2954] = 16'hcea1;
3114
RsqrteLUT[2955] = 16'hce9c;
3115
RsqrteLUT[2956] = 16'hce98;
3116
RsqrteLUT[2957] = 16'hce94;
3117
RsqrteLUT[2958] = 16'hce8f;
3118
RsqrteLUT[2959] = 16'hce8b;
3119
RsqrteLUT[2960] = 16'hce87;
3120
RsqrteLUT[2961] = 16'hce83;
3121
RsqrteLUT[2962] = 16'hce7f;
3122
RsqrteLUT[2963] = 16'hce7b;
3123
RsqrteLUT[2964] = 16'hce78;
3124
RsqrteLUT[2965] = 16'hce74;
3125
RsqrteLUT[2966] = 16'hce70;
3126
RsqrteLUT[2967] = 16'hce6d;
3127
RsqrteLUT[2968] = 16'hce69;
3128
RsqrteLUT[2969] = 16'hce66;
3129
RsqrteLUT[2970] = 16'hce62;
3130
RsqrteLUT[2971] = 16'hce5f;
3131
RsqrteLUT[2972] = 16'hce5b;
3132
RsqrteLUT[2973] = 16'hce58;
3133
RsqrteLUT[2974] = 16'hce55;
3134
RsqrteLUT[2975] = 16'hce52;
3135
RsqrteLUT[2976] = 16'hce4f;
3136
RsqrteLUT[2977] = 16'hce4c;
3137
RsqrteLUT[2978] = 16'hce49;
3138
RsqrteLUT[2979] = 16'hce46;
3139
RsqrteLUT[2980] = 16'hce43;
3140
RsqrteLUT[2981] = 16'hce40;
3141
RsqrteLUT[2982] = 16'hce3d;
3142
RsqrteLUT[2983] = 16'hce3a;
3143
RsqrteLUT[2984] = 16'hce38;
3144
RsqrteLUT[2985] = 16'hce35;
3145
RsqrteLUT[2986] = 16'hce32;
3146
RsqrteLUT[2987] = 16'hce2f;
3147
RsqrteLUT[2988] = 16'hce2d;
3148
RsqrteLUT[2989] = 16'hce2a;
3149
RsqrteLUT[2990] = 16'hce28;
3150
RsqrteLUT[2991] = 16'hce25;
3151
RsqrteLUT[2992] = 16'hce23;
3152
RsqrteLUT[2993] = 16'hce20;
3153
RsqrteLUT[2994] = 16'hce1e;
3154
RsqrteLUT[2995] = 16'hce1c;
3155
RsqrteLUT[2996] = 16'hce19;
3156
RsqrteLUT[2997] = 16'hce17;
3157
RsqrteLUT[2998] = 16'hce15;
3158
RsqrteLUT[2999] = 16'hce13;
3159
RsqrteLUT[3000] = 16'hce10;
3160
RsqrteLUT[3001] = 16'hce0e;
3161
RsqrteLUT[3002] = 16'hce0c;
3162
RsqrteLUT[3003] = 16'hce0a;
3163
RsqrteLUT[3004] = 16'hce08;
3164
RsqrteLUT[3005] = 16'hce06;
3165
RsqrteLUT[3006] = 16'hce04;
3166
RsqrteLUT[3007] = 16'hce02;
3167
RsqrteLUT[3008] = 16'hce00;
3168
RsqrteLUT[3009] = 16'hcdf8;
3169
RsqrteLUT[3010] = 16'hcdf0;
3170
RsqrteLUT[3011] = 16'hcde8;
3171
RsqrteLUT[3012] = 16'hcde1;
3172
RsqrteLUT[3013] = 16'hcdda;
3173
RsqrteLUT[3014] = 16'hcdd3;
3174
RsqrteLUT[3015] = 16'hcdcc;
3175
RsqrteLUT[3016] = 16'hcdc5;
3176
RsqrteLUT[3017] = 16'hcdbe;
3177
RsqrteLUT[3018] = 16'hcdb8;
3178
RsqrteLUT[3019] = 16'hcdb1;
3179
RsqrteLUT[3020] = 16'hcdab;
3180
RsqrteLUT[3021] = 16'hcda5;
3181
RsqrteLUT[3022] = 16'hcd9f;
3182
RsqrteLUT[3023] = 16'hcd99;
3183
RsqrteLUT[3024] = 16'hcd93;
3184
RsqrteLUT[3025] = 16'hcd8e;
3185
RsqrteLUT[3026] = 16'hcd88;
3186
RsqrteLUT[3027] = 16'hcd83;
3187
RsqrteLUT[3028] = 16'hcd7d;
3188
RsqrteLUT[3029] = 16'hcd78;
3189
RsqrteLUT[3030] = 16'hcd73;
3190
RsqrteLUT[3031] = 16'hcd6e;
3191
RsqrteLUT[3032] = 16'hcd69;
3192
RsqrteLUT[3033] = 16'hcd64;
3193
RsqrteLUT[3034] = 16'hcd5f;
3194
RsqrteLUT[3035] = 16'hcd5a;
3195
RsqrteLUT[3036] = 16'hcd56;
3196
RsqrteLUT[3037] = 16'hcd51;
3197
RsqrteLUT[3038] = 16'hcd4c;
3198
RsqrteLUT[3039] = 16'hcd48;
3199
RsqrteLUT[3040] = 16'hcd44;
3200
RsqrteLUT[3041] = 16'hcd3f;
3201
RsqrteLUT[3042] = 16'hcd3b;
3202
RsqrteLUT[3043] = 16'hcd37;
3203
RsqrteLUT[3044] = 16'hcd33;
3204
RsqrteLUT[3045] = 16'hcd2f;
3205
RsqrteLUT[3046] = 16'hcd2b;
3206
RsqrteLUT[3047] = 16'hcd27;
3207
RsqrteLUT[3048] = 16'hcd23;
3208
RsqrteLUT[3049] = 16'hcd1f;
3209
RsqrteLUT[3050] = 16'hcd1b;
3210
RsqrteLUT[3051] = 16'hcd17;
3211
RsqrteLUT[3052] = 16'hcd14;
3212
RsqrteLUT[3053] = 16'hcd10;
3213
RsqrteLUT[3054] = 16'hcd0d;
3214
RsqrteLUT[3055] = 16'hcd09;
3215
RsqrteLUT[3056] = 16'hcd06;
3216
RsqrteLUT[3057] = 16'hcd02;
3217
RsqrteLUT[3058] = 16'hccff;
3218
RsqrteLUT[3059] = 16'hccfb;
3219
RsqrteLUT[3060] = 16'hccf8;
3220
RsqrteLUT[3061] = 16'hccf5;
3221
RsqrteLUT[3062] = 16'hccf2;
3222
RsqrteLUT[3063] = 16'hccee;
3223
RsqrteLUT[3064] = 16'hcceb;
3224
RsqrteLUT[3065] = 16'hcce8;
3225
RsqrteLUT[3066] = 16'hcce5;
3226
RsqrteLUT[3067] = 16'hcce2;
3227
RsqrteLUT[3068] = 16'hccdf;
3228
RsqrteLUT[3069] = 16'hccdc;
3229
RsqrteLUT[3070] = 16'hccd9;
3230
RsqrteLUT[3071] = 16'hccd6;
3231
RsqrteLUT[3072] = 16'hccd4;
3232
RsqrteLUT[3073] = 16'hccce;
3233
RsqrteLUT[3074] = 16'hccc9;
3234
RsqrteLUT[3075] = 16'hccc3;
3235
RsqrteLUT[3076] = 16'hccbe;
3236
RsqrteLUT[3077] = 16'hccb9;
3237
RsqrteLUT[3078] = 16'hccb4;
3238
RsqrteLUT[3079] = 16'hccaf;
3239
RsqrteLUT[3080] = 16'hccaa;
3240
RsqrteLUT[3081] = 16'hcca5;
3241
RsqrteLUT[3082] = 16'hcca1;
3242
RsqrteLUT[3083] = 16'hcc9c;
3243
RsqrteLUT[3084] = 16'hcc98;
3244
RsqrteLUT[3085] = 16'hcc94;
3245
RsqrteLUT[3086] = 16'hcc8f;
3246
RsqrteLUT[3087] = 16'hcc8b;
3247
RsqrteLUT[3088] = 16'hcc87;
3248
RsqrteLUT[3089] = 16'hcc83;
3249
RsqrteLUT[3090] = 16'hcc7f;
3250
RsqrteLUT[3091] = 16'hcc7b;
3251
RsqrteLUT[3092] = 16'hcc78;
3252
RsqrteLUT[3093] = 16'hcc74;
3253
RsqrteLUT[3094] = 16'hcc70;
3254
RsqrteLUT[3095] = 16'hcc6d;
3255
RsqrteLUT[3096] = 16'hcc69;
3256
RsqrteLUT[3097] = 16'hcc66;
3257
RsqrteLUT[3098] = 16'hcc62;
3258
RsqrteLUT[3099] = 16'hcc5f;
3259
RsqrteLUT[3100] = 16'hcc5b;
3260
RsqrteLUT[3101] = 16'hcc58;
3261
RsqrteLUT[3102] = 16'hcc55;
3262
RsqrteLUT[3103] = 16'hcc52;
3263
RsqrteLUT[3104] = 16'hcc4f;
3264
RsqrteLUT[3105] = 16'hcc4c;
3265
RsqrteLUT[3106] = 16'hcc49;
3266
RsqrteLUT[3107] = 16'hcc46;
3267
RsqrteLUT[3108] = 16'hcc43;
3268
RsqrteLUT[3109] = 16'hcc40;
3269
RsqrteLUT[3110] = 16'hcc3d;
3270
RsqrteLUT[3111] = 16'hcc3a;
3271
RsqrteLUT[3112] = 16'hcc38;
3272
RsqrteLUT[3113] = 16'hcc35;
3273
RsqrteLUT[3114] = 16'hcc32;
3274
RsqrteLUT[3115] = 16'hcc2f;
3275
RsqrteLUT[3116] = 16'hcc2d;
3276
RsqrteLUT[3117] = 16'hcc2a;
3277
RsqrteLUT[3118] = 16'hcc28;
3278
RsqrteLUT[3119] = 16'hcc25;
3279
RsqrteLUT[3120] = 16'hcc23;
3280
RsqrteLUT[3121] = 16'hcc20;
3281
RsqrteLUT[3122] = 16'hcc1e;
3282
RsqrteLUT[3123] = 16'hcc1c;
3283
RsqrteLUT[3124] = 16'hcc19;
3284
RsqrteLUT[3125] = 16'hcc17;
3285
RsqrteLUT[3126] = 16'hcc15;
3286
RsqrteLUT[3127] = 16'hcc13;
3287
RsqrteLUT[3128] = 16'hcc10;
3288
RsqrteLUT[3129] = 16'hcc0e;
3289
RsqrteLUT[3130] = 16'hcc0c;
3290
RsqrteLUT[3131] = 16'hcc0a;
3291
RsqrteLUT[3132] = 16'hcc08;
3292
RsqrteLUT[3133] = 16'hcc06;
3293
RsqrteLUT[3134] = 16'hcc04;
3294
RsqrteLUT[3135] = 16'hcc02;
3295
RsqrteLUT[3136] = 16'hcc00;
3296
RsqrteLUT[3137] = 16'hcbf8;
3297
RsqrteLUT[3138] = 16'hcbf0;
3298
RsqrteLUT[3139] = 16'hcbe8;
3299
RsqrteLUT[3140] = 16'hcbe1;
3300
RsqrteLUT[3141] = 16'hcbda;
3301
RsqrteLUT[3142] = 16'hcbd3;
3302
RsqrteLUT[3143] = 16'hcbcc;
3303
RsqrteLUT[3144] = 16'hcbc5;
3304
RsqrteLUT[3145] = 16'hcbbe;
3305
RsqrteLUT[3146] = 16'hcbb8;
3306
RsqrteLUT[3147] = 16'hcbb1;
3307
RsqrteLUT[3148] = 16'hcbab;
3308
RsqrteLUT[3149] = 16'hcba5;
3309
RsqrteLUT[3150] = 16'hcb9f;
3310
RsqrteLUT[3151] = 16'hcb99;
3311
RsqrteLUT[3152] = 16'hcb93;
3312
RsqrteLUT[3153] = 16'hcb8e;
3313
RsqrteLUT[3154] = 16'hcb88;
3314
RsqrteLUT[3155] = 16'hcb83;
3315
RsqrteLUT[3156] = 16'hcb7d;
3316
RsqrteLUT[3157] = 16'hcb78;
3317
RsqrteLUT[3158] = 16'hcb73;
3318
RsqrteLUT[3159] = 16'hcb6e;
3319
RsqrteLUT[3160] = 16'hcb69;
3320
RsqrteLUT[3161] = 16'hcb64;
3321
RsqrteLUT[3162] = 16'hcb5f;
3322
RsqrteLUT[3163] = 16'hcb5a;
3323
RsqrteLUT[3164] = 16'hcb56;
3324
RsqrteLUT[3165] = 16'hcb51;
3325
RsqrteLUT[3166] = 16'hcb4c;
3326
RsqrteLUT[3167] = 16'hcb48;
3327
RsqrteLUT[3168] = 16'hcb44;
3328
RsqrteLUT[3169] = 16'hcb3f;
3329
RsqrteLUT[3170] = 16'hcb3b;
3330
RsqrteLUT[3171] = 16'hcb37;
3331
RsqrteLUT[3172] = 16'hcb33;
3332
RsqrteLUT[3173] = 16'hcb2f;
3333
RsqrteLUT[3174] = 16'hcb2b;
3334
RsqrteLUT[3175] = 16'hcb27;
3335
RsqrteLUT[3176] = 16'hcb23;
3336
RsqrteLUT[3177] = 16'hcb1f;
3337
RsqrteLUT[3178] = 16'hcb1b;
3338
RsqrteLUT[3179] = 16'hcb17;
3339
RsqrteLUT[3180] = 16'hcb14;
3340
RsqrteLUT[3181] = 16'hcb10;
3341
RsqrteLUT[3182] = 16'hcb0d;
3342
RsqrteLUT[3183] = 16'hcb09;
3343
RsqrteLUT[3184] = 16'hcb06;
3344
RsqrteLUT[3185] = 16'hcb02;
3345
RsqrteLUT[3186] = 16'hcaff;
3346
RsqrteLUT[3187] = 16'hcafb;
3347
RsqrteLUT[3188] = 16'hcaf8;
3348
RsqrteLUT[3189] = 16'hcaf5;
3349
RsqrteLUT[3190] = 16'hcaf2;
3350
RsqrteLUT[3191] = 16'hcaee;
3351
RsqrteLUT[3192] = 16'hcaeb;
3352
RsqrteLUT[3193] = 16'hcae8;
3353
RsqrteLUT[3194] = 16'hcae5;
3354
RsqrteLUT[3195] = 16'hcae2;
3355
RsqrteLUT[3196] = 16'hcadf;
3356
RsqrteLUT[3197] = 16'hcadc;
3357
RsqrteLUT[3198] = 16'hcad9;
3358
RsqrteLUT[3199] = 16'hcad6;
3359
RsqrteLUT[3200] = 16'hcad4;
3360
RsqrteLUT[3201] = 16'hcace;
3361
RsqrteLUT[3202] = 16'hcac9;
3362
RsqrteLUT[3203] = 16'hcac3;
3363
RsqrteLUT[3204] = 16'hcabe;
3364
RsqrteLUT[3205] = 16'hcab9;
3365
RsqrteLUT[3206] = 16'hcab4;
3366
RsqrteLUT[3207] = 16'hcaaf;
3367
RsqrteLUT[3208] = 16'hcaaa;
3368
RsqrteLUT[3209] = 16'hcaa5;
3369
RsqrteLUT[3210] = 16'hcaa1;
3370
RsqrteLUT[3211] = 16'hca9c;
3371
RsqrteLUT[3212] = 16'hca98;
3372
RsqrteLUT[3213] = 16'hca94;
3373
RsqrteLUT[3214] = 16'hca8f;
3374
RsqrteLUT[3215] = 16'hca8b;
3375
RsqrteLUT[3216] = 16'hca87;
3376
RsqrteLUT[3217] = 16'hca83;
3377
RsqrteLUT[3218] = 16'hca7f;
3378
RsqrteLUT[3219] = 16'hca7b;
3379
RsqrteLUT[3220] = 16'hca78;
3380
RsqrteLUT[3221] = 16'hca74;
3381
RsqrteLUT[3222] = 16'hca70;
3382
RsqrteLUT[3223] = 16'hca6d;
3383
RsqrteLUT[3224] = 16'hca69;
3384
RsqrteLUT[3225] = 16'hca66;
3385
RsqrteLUT[3226] = 16'hca62;
3386
RsqrteLUT[3227] = 16'hca5f;
3387
RsqrteLUT[3228] = 16'hca5b;
3388
RsqrteLUT[3229] = 16'hca58;
3389
RsqrteLUT[3230] = 16'hca55;
3390
RsqrteLUT[3231] = 16'hca52;
3391
RsqrteLUT[3232] = 16'hca4f;
3392
RsqrteLUT[3233] = 16'hca4c;
3393
RsqrteLUT[3234] = 16'hca49;
3394
RsqrteLUT[3235] = 16'hca46;
3395
RsqrteLUT[3236] = 16'hca43;
3396
RsqrteLUT[3237] = 16'hca40;
3397
RsqrteLUT[3238] = 16'hca3d;
3398
RsqrteLUT[3239] = 16'hca3a;
3399
RsqrteLUT[3240] = 16'hca38;
3400
RsqrteLUT[3241] = 16'hca35;
3401
RsqrteLUT[3242] = 16'hca32;
3402
RsqrteLUT[3243] = 16'hca2f;
3403
RsqrteLUT[3244] = 16'hca2d;
3404
RsqrteLUT[3245] = 16'hca2a;
3405
RsqrteLUT[3246] = 16'hca28;
3406
RsqrteLUT[3247] = 16'hca25;
3407
RsqrteLUT[3248] = 16'hca23;
3408
RsqrteLUT[3249] = 16'hca20;
3409
RsqrteLUT[3250] = 16'hca1e;
3410
RsqrteLUT[3251] = 16'hca1c;
3411
RsqrteLUT[3252] = 16'hca19;
3412
RsqrteLUT[3253] = 16'hca17;
3413
RsqrteLUT[3254] = 16'hca15;
3414
RsqrteLUT[3255] = 16'hca13;
3415
RsqrteLUT[3256] = 16'hca10;
3416
RsqrteLUT[3257] = 16'hca0e;
3417
RsqrteLUT[3258] = 16'hca0c;
3418
RsqrteLUT[3259] = 16'hca0a;
3419
RsqrteLUT[3260] = 16'hca08;
3420
RsqrteLUT[3261] = 16'hca06;
3421
RsqrteLUT[3262] = 16'hca04;
3422
RsqrteLUT[3263] = 16'hca02;
3423
RsqrteLUT[3264] = 16'hca00;
3424
RsqrteLUT[3265] = 16'hc9f8;
3425
RsqrteLUT[3266] = 16'hc9f0;
3426
RsqrteLUT[3267] = 16'hc9e8;
3427
RsqrteLUT[3268] = 16'hc9e1;
3428
RsqrteLUT[3269] = 16'hc9da;
3429
RsqrteLUT[3270] = 16'hc9d3;
3430
RsqrteLUT[3271] = 16'hc9cc;
3431
RsqrteLUT[3272] = 16'hc9c5;
3432
RsqrteLUT[3273] = 16'hc9be;
3433
RsqrteLUT[3274] = 16'hc9b8;
3434
RsqrteLUT[3275] = 16'hc9b1;
3435
RsqrteLUT[3276] = 16'hc9ab;
3436
RsqrteLUT[3277] = 16'hc9a5;
3437
RsqrteLUT[3278] = 16'hc99f;
3438
RsqrteLUT[3279] = 16'hc999;
3439
RsqrteLUT[3280] = 16'hc993;
3440
RsqrteLUT[3281] = 16'hc98e;
3441
RsqrteLUT[3282] = 16'hc988;
3442
RsqrteLUT[3283] = 16'hc983;
3443
RsqrteLUT[3284] = 16'hc97d;
3444
RsqrteLUT[3285] = 16'hc978;
3445
RsqrteLUT[3286] = 16'hc973;
3446
RsqrteLUT[3287] = 16'hc96e;
3447
RsqrteLUT[3288] = 16'hc969;
3448
RsqrteLUT[3289] = 16'hc964;
3449
RsqrteLUT[3290] = 16'hc95f;
3450
RsqrteLUT[3291] = 16'hc95a;
3451
RsqrteLUT[3292] = 16'hc956;
3452
RsqrteLUT[3293] = 16'hc951;
3453
RsqrteLUT[3294] = 16'hc94c;
3454
RsqrteLUT[3295] = 16'hc948;
3455
RsqrteLUT[3296] = 16'hc944;
3456
RsqrteLUT[3297] = 16'hc93f;
3457
RsqrteLUT[3298] = 16'hc93b;
3458
RsqrteLUT[3299] = 16'hc937;
3459
RsqrteLUT[3300] = 16'hc933;
3460
RsqrteLUT[3301] = 16'hc92f;
3461
RsqrteLUT[3302] = 16'hc92b;
3462
RsqrteLUT[3303] = 16'hc927;
3463
RsqrteLUT[3304] = 16'hc923;
3464
RsqrteLUT[3305] = 16'hc91f;
3465
RsqrteLUT[3306] = 16'hc91b;
3466
RsqrteLUT[3307] = 16'hc917;
3467
RsqrteLUT[3308] = 16'hc914;
3468
RsqrteLUT[3309] = 16'hc910;
3469
RsqrteLUT[3310] = 16'hc90d;
3470
RsqrteLUT[3311] = 16'hc909;
3471
RsqrteLUT[3312] = 16'hc906;
3472
RsqrteLUT[3313] = 16'hc902;
3473
RsqrteLUT[3314] = 16'hc8ff;
3474
RsqrteLUT[3315] = 16'hc8fb;
3475
RsqrteLUT[3316] = 16'hc8f8;
3476
RsqrteLUT[3317] = 16'hc8f5;
3477
RsqrteLUT[3318] = 16'hc8f2;
3478
RsqrteLUT[3319] = 16'hc8ee;
3479
RsqrteLUT[3320] = 16'hc8eb;
3480
RsqrteLUT[3321] = 16'hc8e8;
3481
RsqrteLUT[3322] = 16'hc8e5;
3482
RsqrteLUT[3323] = 16'hc8e2;
3483
RsqrteLUT[3324] = 16'hc8df;
3484
RsqrteLUT[3325] = 16'hc8dc;
3485
RsqrteLUT[3326] = 16'hc8d9;
3486
RsqrteLUT[3327] = 16'hc8d6;
3487
RsqrteLUT[3328] = 16'hc8d4;
3488
RsqrteLUT[3329] = 16'hc8ce;
3489
RsqrteLUT[3330] = 16'hc8c9;
3490
RsqrteLUT[3331] = 16'hc8c3;
3491
RsqrteLUT[3332] = 16'hc8be;
3492
RsqrteLUT[3333] = 16'hc8b9;
3493
RsqrteLUT[3334] = 16'hc8b4;
3494
RsqrteLUT[3335] = 16'hc8af;
3495
RsqrteLUT[3336] = 16'hc8aa;
3496
RsqrteLUT[3337] = 16'hc8a5;
3497
RsqrteLUT[3338] = 16'hc8a1;
3498
RsqrteLUT[3339] = 16'hc89c;
3499
RsqrteLUT[3340] = 16'hc898;
3500
RsqrteLUT[3341] = 16'hc894;
3501
RsqrteLUT[3342] = 16'hc88f;
3502
RsqrteLUT[3343] = 16'hc88b;
3503
RsqrteLUT[3344] = 16'hc887;
3504
RsqrteLUT[3345] = 16'hc883;
3505
RsqrteLUT[3346] = 16'hc87f;
3506
RsqrteLUT[3347] = 16'hc87b;
3507
RsqrteLUT[3348] = 16'hc878;
3508
RsqrteLUT[3349] = 16'hc874;
3509
RsqrteLUT[3350] = 16'hc870;
3510
RsqrteLUT[3351] = 16'hc86d;
3511
RsqrteLUT[3352] = 16'hc869;
3512
RsqrteLUT[3353] = 16'hc866;
3513
RsqrteLUT[3354] = 16'hc862;
3514
RsqrteLUT[3355] = 16'hc85f;
3515
RsqrteLUT[3356] = 16'hc85b;
3516
RsqrteLUT[3357] = 16'hc858;
3517
RsqrteLUT[3358] = 16'hc855;
3518
RsqrteLUT[3359] = 16'hc852;
3519
RsqrteLUT[3360] = 16'hc84f;
3520
RsqrteLUT[3361] = 16'hc84c;
3521
RsqrteLUT[3362] = 16'hc849;
3522
RsqrteLUT[3363] = 16'hc846;
3523
RsqrteLUT[3364] = 16'hc843;
3524
RsqrteLUT[3365] = 16'hc840;
3525
RsqrteLUT[3366] = 16'hc83d;
3526
RsqrteLUT[3367] = 16'hc83a;
3527
RsqrteLUT[3368] = 16'hc838;
3528
RsqrteLUT[3369] = 16'hc835;
3529
RsqrteLUT[3370] = 16'hc832;
3530
RsqrteLUT[3371] = 16'hc82f;
3531
RsqrteLUT[3372] = 16'hc82d;
3532
RsqrteLUT[3373] = 16'hc82a;
3533
RsqrteLUT[3374] = 16'hc828;
3534
RsqrteLUT[3375] = 16'hc825;
3535
RsqrteLUT[3376] = 16'hc823;
3536
RsqrteLUT[3377] = 16'hc820;
3537
RsqrteLUT[3378] = 16'hc81e;
3538
RsqrteLUT[3379] = 16'hc81c;
3539
RsqrteLUT[3380] = 16'hc819;
3540
RsqrteLUT[3381] = 16'hc817;
3541
RsqrteLUT[3382] = 16'hc815;
3542
RsqrteLUT[3383] = 16'hc813;
3543
RsqrteLUT[3384] = 16'hc810;
3544
RsqrteLUT[3385] = 16'hc80e;
3545
RsqrteLUT[3386] = 16'hc80c;
3546
RsqrteLUT[3387] = 16'hc80a;
3547
RsqrteLUT[3388] = 16'hc808;
3548
RsqrteLUT[3389] = 16'hc806;
3549
RsqrteLUT[3390] = 16'hc804;
3550
RsqrteLUT[3391] = 16'hc802;
3551
RsqrteLUT[3392] = 16'hc800;
3552
RsqrteLUT[3393] = 16'hc7f8;
3553
RsqrteLUT[3394] = 16'hc7f0;
3554
RsqrteLUT[3395] = 16'hc7e8;
3555
RsqrteLUT[3396] = 16'hc7e1;
3556
RsqrteLUT[3397] = 16'hc7da;
3557
RsqrteLUT[3398] = 16'hc7d3;
3558
RsqrteLUT[3399] = 16'hc7cc;
3559
RsqrteLUT[3400] = 16'hc7c5;
3560
RsqrteLUT[3401] = 16'hc7be;
3561
RsqrteLUT[3402] = 16'hc7b8;
3562
RsqrteLUT[3403] = 16'hc7b1;
3563
RsqrteLUT[3404] = 16'hc7ab;
3564
RsqrteLUT[3405] = 16'hc7a5;
3565
RsqrteLUT[3406] = 16'hc79f;
3566
RsqrteLUT[3407] = 16'hc799;
3567
RsqrteLUT[3408] = 16'hc793;
3568
RsqrteLUT[3409] = 16'hc78e;
3569
RsqrteLUT[3410] = 16'hc788;
3570
RsqrteLUT[3411] = 16'hc783;
3571
RsqrteLUT[3412] = 16'hc77d;
3572
RsqrteLUT[3413] = 16'hc778;
3573
RsqrteLUT[3414] = 16'hc773;
3574
RsqrteLUT[3415] = 16'hc76e;
3575
RsqrteLUT[3416] = 16'hc769;
3576
RsqrteLUT[3417] = 16'hc764;
3577
RsqrteLUT[3418] = 16'hc75f;
3578
RsqrteLUT[3419] = 16'hc75a;
3579
RsqrteLUT[3420] = 16'hc756;
3580
RsqrteLUT[3421] = 16'hc751;
3581
RsqrteLUT[3422] = 16'hc74c;
3582
RsqrteLUT[3423] = 16'hc748;
3583
RsqrteLUT[3424] = 16'hc744;
3584
RsqrteLUT[3425] = 16'hc73f;
3585
RsqrteLUT[3426] = 16'hc73b;
3586
RsqrteLUT[3427] = 16'hc737;
3587
RsqrteLUT[3428] = 16'hc733;
3588
RsqrteLUT[3429] = 16'hc72f;
3589
RsqrteLUT[3430] = 16'hc72b;
3590
RsqrteLUT[3431] = 16'hc727;
3591
RsqrteLUT[3432] = 16'hc723;
3592
RsqrteLUT[3433] = 16'hc71f;
3593
RsqrteLUT[3434] = 16'hc71b;
3594
RsqrteLUT[3435] = 16'hc717;
3595
RsqrteLUT[3436] = 16'hc714;
3596
RsqrteLUT[3437] = 16'hc710;
3597
RsqrteLUT[3438] = 16'hc70d;
3598
RsqrteLUT[3439] = 16'hc709;
3599
RsqrteLUT[3440] = 16'hc706;
3600
RsqrteLUT[3441] = 16'hc702;
3601
RsqrteLUT[3442] = 16'hc6ff;
3602
RsqrteLUT[3443] = 16'hc6fb;
3603
RsqrteLUT[3444] = 16'hc6f8;
3604
RsqrteLUT[3445] = 16'hc6f5;
3605
RsqrteLUT[3446] = 16'hc6f2;
3606
RsqrteLUT[3447] = 16'hc6ee;
3607
RsqrteLUT[3448] = 16'hc6eb;
3608
RsqrteLUT[3449] = 16'hc6e8;
3609
RsqrteLUT[3450] = 16'hc6e5;
3610
RsqrteLUT[3451] = 16'hc6e2;
3611
RsqrteLUT[3452] = 16'hc6df;
3612
RsqrteLUT[3453] = 16'hc6dc;
3613
RsqrteLUT[3454] = 16'hc6d9;
3614
RsqrteLUT[3455] = 16'hc6d6;
3615
RsqrteLUT[3456] = 16'hc6d4;
3616
RsqrteLUT[3457] = 16'hc6ce;
3617
RsqrteLUT[3458] = 16'hc6c9;
3618
RsqrteLUT[3459] = 16'hc6c3;
3619
RsqrteLUT[3460] = 16'hc6be;
3620
RsqrteLUT[3461] = 16'hc6b9;
3621
RsqrteLUT[3462] = 16'hc6b4;
3622
RsqrteLUT[3463] = 16'hc6af;
3623
RsqrteLUT[3464] = 16'hc6aa;
3624
RsqrteLUT[3465] = 16'hc6a5;
3625
RsqrteLUT[3466] = 16'hc6a1;
3626
RsqrteLUT[3467] = 16'hc69c;
3627
RsqrteLUT[3468] = 16'hc698;
3628
RsqrteLUT[3469] = 16'hc694;
3629
RsqrteLUT[3470] = 16'hc68f;
3630
RsqrteLUT[3471] = 16'hc68b;
3631
RsqrteLUT[3472] = 16'hc687;
3632
RsqrteLUT[3473] = 16'hc683;
3633
RsqrteLUT[3474] = 16'hc67f;
3634
RsqrteLUT[3475] = 16'hc67b;
3635
RsqrteLUT[3476] = 16'hc678;
3636
RsqrteLUT[3477] = 16'hc674;
3637
RsqrteLUT[3478] = 16'hc670;
3638
RsqrteLUT[3479] = 16'hc66d;
3639
RsqrteLUT[3480] = 16'hc669;
3640
RsqrteLUT[3481] = 16'hc666;
3641
RsqrteLUT[3482] = 16'hc662;
3642
RsqrteLUT[3483] = 16'hc65f;
3643
RsqrteLUT[3484] = 16'hc65b;
3644
RsqrteLUT[3485] = 16'hc658;
3645
RsqrteLUT[3486] = 16'hc655;
3646
RsqrteLUT[3487] = 16'hc652;
3647
RsqrteLUT[3488] = 16'hc64f;
3648
RsqrteLUT[3489] = 16'hc64c;
3649
RsqrteLUT[3490] = 16'hc649;
3650
RsqrteLUT[3491] = 16'hc646;
3651
RsqrteLUT[3492] = 16'hc643;
3652
RsqrteLUT[3493] = 16'hc640;
3653
RsqrteLUT[3494] = 16'hc63d;
3654
RsqrteLUT[3495] = 16'hc63a;
3655
RsqrteLUT[3496] = 16'hc638;
3656
RsqrteLUT[3497] = 16'hc635;
3657
RsqrteLUT[3498] = 16'hc632;
3658
RsqrteLUT[3499] = 16'hc62f;
3659
RsqrteLUT[3500] = 16'hc62d;
3660
RsqrteLUT[3501] = 16'hc62a;
3661
RsqrteLUT[3502] = 16'hc628;
3662
RsqrteLUT[3503] = 16'hc625;
3663
RsqrteLUT[3504] = 16'hc623;
3664
RsqrteLUT[3505] = 16'hc620;
3665
RsqrteLUT[3506] = 16'hc61e;
3666
RsqrteLUT[3507] = 16'hc61c;
3667
RsqrteLUT[3508] = 16'hc619;
3668
RsqrteLUT[3509] = 16'hc617;
3669
RsqrteLUT[3510] = 16'hc615;
3670
RsqrteLUT[3511] = 16'hc613;
3671
RsqrteLUT[3512] = 16'hc610;
3672
RsqrteLUT[3513] = 16'hc60e;
3673
RsqrteLUT[3514] = 16'hc60c;
3674
RsqrteLUT[3515] = 16'hc60a;
3675
RsqrteLUT[3516] = 16'hc608;
3676
RsqrteLUT[3517] = 16'hc606;
3677
RsqrteLUT[3518] = 16'hc604;
3678
RsqrteLUT[3519] = 16'hc602;
3679
RsqrteLUT[3520] = 16'hc600;
3680
RsqrteLUT[3521] = 16'hc5f8;
3681
RsqrteLUT[3522] = 16'hc5f0;
3682
RsqrteLUT[3523] = 16'hc5e8;
3683
RsqrteLUT[3524] = 16'hc5e1;
3684
RsqrteLUT[3525] = 16'hc5da;
3685
RsqrteLUT[3526] = 16'hc5d3;
3686
RsqrteLUT[3527] = 16'hc5cc;
3687
RsqrteLUT[3528] = 16'hc5c5;
3688
RsqrteLUT[3529] = 16'hc5be;
3689
RsqrteLUT[3530] = 16'hc5b8;
3690
RsqrteLUT[3531] = 16'hc5b1;
3691
RsqrteLUT[3532] = 16'hc5ab;
3692
RsqrteLUT[3533] = 16'hc5a5;
3693
RsqrteLUT[3534] = 16'hc59f;
3694
RsqrteLUT[3535] = 16'hc599;
3695
RsqrteLUT[3536] = 16'hc593;
3696
RsqrteLUT[3537] = 16'hc58e;
3697
RsqrteLUT[3538] = 16'hc588;
3698
RsqrteLUT[3539] = 16'hc583;
3699
RsqrteLUT[3540] = 16'hc57d;
3700
RsqrteLUT[3541] = 16'hc578;
3701
RsqrteLUT[3542] = 16'hc573;
3702
RsqrteLUT[3543] = 16'hc56e;
3703
RsqrteLUT[3544] = 16'hc569;
3704
RsqrteLUT[3545] = 16'hc564;
3705
RsqrteLUT[3546] = 16'hc55f;
3706
RsqrteLUT[3547] = 16'hc55a;
3707
RsqrteLUT[3548] = 16'hc556;
3708
RsqrteLUT[3549] = 16'hc551;
3709
RsqrteLUT[3550] = 16'hc54c;
3710
RsqrteLUT[3551] = 16'hc548;
3711
RsqrteLUT[3552] = 16'hc544;
3712
RsqrteLUT[3553] = 16'hc53f;
3713
RsqrteLUT[3554] = 16'hc53b;
3714
RsqrteLUT[3555] = 16'hc537;
3715
RsqrteLUT[3556] = 16'hc533;
3716
RsqrteLUT[3557] = 16'hc52f;
3717
RsqrteLUT[3558] = 16'hc52b;
3718
RsqrteLUT[3559] = 16'hc527;
3719
RsqrteLUT[3560] = 16'hc523;
3720
RsqrteLUT[3561] = 16'hc51f;
3721
RsqrteLUT[3562] = 16'hc51b;
3722
RsqrteLUT[3563] = 16'hc517;
3723
RsqrteLUT[3564] = 16'hc514;
3724
RsqrteLUT[3565] = 16'hc510;
3725
RsqrteLUT[3566] = 16'hc50d;
3726
RsqrteLUT[3567] = 16'hc509;
3727
RsqrteLUT[3568] = 16'hc506;
3728
RsqrteLUT[3569] = 16'hc502;
3729
RsqrteLUT[3570] = 16'hc4ff;
3730
RsqrteLUT[3571] = 16'hc4fb;
3731
RsqrteLUT[3572] = 16'hc4f8;
3732
RsqrteLUT[3573] = 16'hc4f5;
3733
RsqrteLUT[3574] = 16'hc4f2;
3734
RsqrteLUT[3575] = 16'hc4ee;
3735
RsqrteLUT[3576] = 16'hc4eb;
3736
RsqrteLUT[3577] = 16'hc4e8;
3737
RsqrteLUT[3578] = 16'hc4e5;
3738
RsqrteLUT[3579] = 16'hc4e2;
3739
RsqrteLUT[3580] = 16'hc4df;
3740
RsqrteLUT[3581] = 16'hc4dc;
3741
RsqrteLUT[3582] = 16'hc4d9;
3742
RsqrteLUT[3583] = 16'hc4d6;
3743
RsqrteLUT[3584] = 16'hc4d4;
3744
RsqrteLUT[3585] = 16'hc4ce;
3745
RsqrteLUT[3586] = 16'hc4c9;
3746
RsqrteLUT[3587] = 16'hc4c3;
3747
RsqrteLUT[3588] = 16'hc4be;
3748
RsqrteLUT[3589] = 16'hc4b9;
3749
RsqrteLUT[3590] = 16'hc4b4;
3750
RsqrteLUT[3591] = 16'hc4af;
3751
RsqrteLUT[3592] = 16'hc4aa;
3752
RsqrteLUT[3593] = 16'hc4a5;
3753
RsqrteLUT[3594] = 16'hc4a1;
3754
RsqrteLUT[3595] = 16'hc49c;
3755
RsqrteLUT[3596] = 16'hc498;
3756
RsqrteLUT[3597] = 16'hc494;
3757
RsqrteLUT[3598] = 16'hc48f;
3758
RsqrteLUT[3599] = 16'hc48b;
3759
RsqrteLUT[3600] = 16'hc487;
3760
RsqrteLUT[3601] = 16'hc483;
3761
RsqrteLUT[3602] = 16'hc47f;
3762
RsqrteLUT[3603] = 16'hc47b;
3763
RsqrteLUT[3604] = 16'hc478;
3764
RsqrteLUT[3605] = 16'hc474;
3765
RsqrteLUT[3606] = 16'hc470;
3766
RsqrteLUT[3607] = 16'hc46d;
3767
RsqrteLUT[3608] = 16'hc469;
3768
RsqrteLUT[3609] = 16'hc466;
3769
RsqrteLUT[3610] = 16'hc462;
3770
RsqrteLUT[3611] = 16'hc45f;
3771
RsqrteLUT[3612] = 16'hc45b;
3772
RsqrteLUT[3613] = 16'hc458;
3773
RsqrteLUT[3614] = 16'hc455;
3774
RsqrteLUT[3615] = 16'hc452;
3775
RsqrteLUT[3616] = 16'hc44f;
3776
RsqrteLUT[3617] = 16'hc44c;
3777
RsqrteLUT[3618] = 16'hc449;
3778
RsqrteLUT[3619] = 16'hc446;
3779
RsqrteLUT[3620] = 16'hc443;
3780
RsqrteLUT[3621] = 16'hc440;
3781
RsqrteLUT[3622] = 16'hc43d;
3782
RsqrteLUT[3623] = 16'hc43a;
3783
RsqrteLUT[3624] = 16'hc438;
3784
RsqrteLUT[3625] = 16'hc435;
3785
RsqrteLUT[3626] = 16'hc432;
3786
RsqrteLUT[3627] = 16'hc42f;
3787
RsqrteLUT[3628] = 16'hc42d;
3788
RsqrteLUT[3629] = 16'hc42a;
3789
RsqrteLUT[3630] = 16'hc428;
3790
RsqrteLUT[3631] = 16'hc425;
3791
RsqrteLUT[3632] = 16'hc423;
3792
RsqrteLUT[3633] = 16'hc420;
3793
RsqrteLUT[3634] = 16'hc41e;
3794
RsqrteLUT[3635] = 16'hc41c;
3795
RsqrteLUT[3636] = 16'hc419;
3796
RsqrteLUT[3637] = 16'hc417;
3797
RsqrteLUT[3638] = 16'hc415;
3798
RsqrteLUT[3639] = 16'hc413;
3799
RsqrteLUT[3640] = 16'hc410;
3800
RsqrteLUT[3641] = 16'hc40e;
3801
RsqrteLUT[3642] = 16'hc40c;
3802
RsqrteLUT[3643] = 16'hc40a;
3803
RsqrteLUT[3644] = 16'hc408;
3804
RsqrteLUT[3645] = 16'hc406;
3805
RsqrteLUT[3646] = 16'hc404;
3806
RsqrteLUT[3647] = 16'hc402;
3807
RsqrteLUT[3648] = 16'hc400;
3808
RsqrteLUT[3649] = 16'hc3f8;
3809
RsqrteLUT[3650] = 16'hc3f0;
3810
RsqrteLUT[3651] = 16'hc3e8;
3811
RsqrteLUT[3652] = 16'hc3e1;
3812
RsqrteLUT[3653] = 16'hc3da;
3813
RsqrteLUT[3654] = 16'hc3d3;
3814
RsqrteLUT[3655] = 16'hc3cc;
3815
RsqrteLUT[3656] = 16'hc3c5;
3816
RsqrteLUT[3657] = 16'hc3be;
3817
RsqrteLUT[3658] = 16'hc3b8;
3818
RsqrteLUT[3659] = 16'hc3b1;
3819
RsqrteLUT[3660] = 16'hc3ab;
3820
RsqrteLUT[3661] = 16'hc3a5;
3821
RsqrteLUT[3662] = 16'hc39f;
3822
RsqrteLUT[3663] = 16'hc399;
3823
RsqrteLUT[3664] = 16'hc393;
3824
RsqrteLUT[3665] = 16'hc38e;
3825
RsqrteLUT[3666] = 16'hc388;
3826
RsqrteLUT[3667] = 16'hc383;
3827
RsqrteLUT[3668] = 16'hc37d;
3828
RsqrteLUT[3669] = 16'hc378;
3829
RsqrteLUT[3670] = 16'hc373;
3830
RsqrteLUT[3671] = 16'hc36e;
3831
RsqrteLUT[3672] = 16'hc369;
3832
RsqrteLUT[3673] = 16'hc364;
3833
RsqrteLUT[3674] = 16'hc35f;
3834
RsqrteLUT[3675] = 16'hc35a;
3835
RsqrteLUT[3676] = 16'hc356;
3836
RsqrteLUT[3677] = 16'hc351;
3837
RsqrteLUT[3678] = 16'hc34c;
3838
RsqrteLUT[3679] = 16'hc348;
3839
RsqrteLUT[3680] = 16'hc344;
3840
RsqrteLUT[3681] = 16'hc33f;
3841
RsqrteLUT[3682] = 16'hc33b;
3842
RsqrteLUT[3683] = 16'hc337;
3843
RsqrteLUT[3684] = 16'hc333;
3844
RsqrteLUT[3685] = 16'hc32f;
3845
RsqrteLUT[3686] = 16'hc32b;
3846
RsqrteLUT[3687] = 16'hc327;
3847
RsqrteLUT[3688] = 16'hc323;
3848
RsqrteLUT[3689] = 16'hc31f;
3849
RsqrteLUT[3690] = 16'hc31b;
3850
RsqrteLUT[3691] = 16'hc317;
3851
RsqrteLUT[3692] = 16'hc314;
3852
RsqrteLUT[3693] = 16'hc310;
3853
RsqrteLUT[3694] = 16'hc30d;
3854
RsqrteLUT[3695] = 16'hc309;
3855
RsqrteLUT[3696] = 16'hc306;
3856
RsqrteLUT[3697] = 16'hc302;
3857
RsqrteLUT[3698] = 16'hc2ff;
3858
RsqrteLUT[3699] = 16'hc2fb;
3859
RsqrteLUT[3700] = 16'hc2f8;
3860
RsqrteLUT[3701] = 16'hc2f5;
3861
RsqrteLUT[3702] = 16'hc2f2;
3862
RsqrteLUT[3703] = 16'hc2ee;
3863
RsqrteLUT[3704] = 16'hc2eb;
3864
RsqrteLUT[3705] = 16'hc2e8;
3865
RsqrteLUT[3706] = 16'hc2e5;
3866
RsqrteLUT[3707] = 16'hc2e2;
3867
RsqrteLUT[3708] = 16'hc2df;
3868
RsqrteLUT[3709] = 16'hc2dc;
3869
RsqrteLUT[3710] = 16'hc2d9;
3870
RsqrteLUT[3711] = 16'hc2d6;
3871
RsqrteLUT[3712] = 16'hc2d4;
3872
RsqrteLUT[3713] = 16'hc2ce;
3873
RsqrteLUT[3714] = 16'hc2c9;
3874
RsqrteLUT[3715] = 16'hc2c3;
3875
RsqrteLUT[3716] = 16'hc2be;
3876
RsqrteLUT[3717] = 16'hc2b9;
3877
RsqrteLUT[3718] = 16'hc2b4;
3878
RsqrteLUT[3719] = 16'hc2af;
3879
RsqrteLUT[3720] = 16'hc2aa;
3880
RsqrteLUT[3721] = 16'hc2a5;
3881
RsqrteLUT[3722] = 16'hc2a1;
3882
RsqrteLUT[3723] = 16'hc29c;
3883
RsqrteLUT[3724] = 16'hc298;
3884
RsqrteLUT[3725] = 16'hc294;
3885
RsqrteLUT[3726] = 16'hc28f;
3886
RsqrteLUT[3727] = 16'hc28b;
3887
RsqrteLUT[3728] = 16'hc287;
3888
RsqrteLUT[3729] = 16'hc283;
3889
RsqrteLUT[3730] = 16'hc27f;
3890
RsqrteLUT[3731] = 16'hc27b;
3891
RsqrteLUT[3732] = 16'hc278;
3892
RsqrteLUT[3733] = 16'hc274;
3893
RsqrteLUT[3734] = 16'hc270;
3894
RsqrteLUT[3735] = 16'hc26d;
3895
RsqrteLUT[3736] = 16'hc269;
3896
RsqrteLUT[3737] = 16'hc266;
3897
RsqrteLUT[3738] = 16'hc262;
3898
RsqrteLUT[3739] = 16'hc25f;
3899
RsqrteLUT[3740] = 16'hc25b;
3900
RsqrteLUT[3741] = 16'hc258;
3901
RsqrteLUT[3742] = 16'hc255;
3902
RsqrteLUT[3743] = 16'hc252;
3903
RsqrteLUT[3744] = 16'hc24f;
3904
RsqrteLUT[3745] = 16'hc24c;
3905
RsqrteLUT[3746] = 16'hc249;
3906
RsqrteLUT[3747] = 16'hc246;
3907
RsqrteLUT[3748] = 16'hc243;
3908
RsqrteLUT[3749] = 16'hc240;
3909
RsqrteLUT[3750] = 16'hc23d;
3910
RsqrteLUT[3751] = 16'hc23a;
3911
RsqrteLUT[3752] = 16'hc238;
3912
RsqrteLUT[3753] = 16'hc235;
3913
RsqrteLUT[3754] = 16'hc232;
3914
RsqrteLUT[3755] = 16'hc22f;
3915
RsqrteLUT[3756] = 16'hc22d;
3916
RsqrteLUT[3757] = 16'hc22a;
3917
RsqrteLUT[3758] = 16'hc228;
3918
RsqrteLUT[3759] = 16'hc225;
3919
RsqrteLUT[3760] = 16'hc223;
3920
RsqrteLUT[3761] = 16'hc220;
3921
RsqrteLUT[3762] = 16'hc21e;
3922
RsqrteLUT[3763] = 16'hc21c;
3923
RsqrteLUT[3764] = 16'hc219;
3924
RsqrteLUT[3765] = 16'hc217;
3925
RsqrteLUT[3766] = 16'hc215;
3926
RsqrteLUT[3767] = 16'hc213;
3927
RsqrteLUT[3768] = 16'hc210;
3928
RsqrteLUT[3769] = 16'hc20e;
3929
RsqrteLUT[3770] = 16'hc20c;
3930
RsqrteLUT[3771] = 16'hc20a;
3931
RsqrteLUT[3772] = 16'hc208;
3932
RsqrteLUT[3773] = 16'hc206;
3933
RsqrteLUT[3774] = 16'hc204;
3934
RsqrteLUT[3775] = 16'hc202;
3935
RsqrteLUT[3776] = 16'hc200;
3936
RsqrteLUT[3777] = 16'hc1f8;
3937
RsqrteLUT[3778] = 16'hc1f0;
3938
RsqrteLUT[3779] = 16'hc1e8;
3939
RsqrteLUT[3780] = 16'hc1e1;
3940
RsqrteLUT[3781] = 16'hc1da;
3941
RsqrteLUT[3782] = 16'hc1d3;
3942
RsqrteLUT[3783] = 16'hc1cc;
3943
RsqrteLUT[3784] = 16'hc1c5;
3944
RsqrteLUT[3785] = 16'hc1be;
3945
RsqrteLUT[3786] = 16'hc1b8;
3946
RsqrteLUT[3787] = 16'hc1b1;
3947
RsqrteLUT[3788] = 16'hc1ab;
3948
RsqrteLUT[3789] = 16'hc1a5;
3949
RsqrteLUT[3790] = 16'hc19f;
3950
RsqrteLUT[3791] = 16'hc199;
3951
RsqrteLUT[3792] = 16'hc193;
3952
RsqrteLUT[3793] = 16'hc18e;
3953
RsqrteLUT[3794] = 16'hc188;
3954
RsqrteLUT[3795] = 16'hc183;
3955
RsqrteLUT[3796] = 16'hc17d;
3956
RsqrteLUT[3797] = 16'hc178;
3957
RsqrteLUT[3798] = 16'hc173;
3958
RsqrteLUT[3799] = 16'hc16e;
3959
RsqrteLUT[3800] = 16'hc169;
3960
RsqrteLUT[3801] = 16'hc164;
3961
RsqrteLUT[3802] = 16'hc15f;
3962
RsqrteLUT[3803] = 16'hc15a;
3963
RsqrteLUT[3804] = 16'hc156;
3964
RsqrteLUT[3805] = 16'hc151;
3965
RsqrteLUT[3806] = 16'hc14c;
3966
RsqrteLUT[3807] = 16'hc148;
3967
RsqrteLUT[3808] = 16'hc144;
3968
RsqrteLUT[3809] = 16'hc13f;
3969
RsqrteLUT[3810] = 16'hc13b;
3970
RsqrteLUT[3811] = 16'hc137;
3971
RsqrteLUT[3812] = 16'hc133;
3972
RsqrteLUT[3813] = 16'hc12f;
3973
RsqrteLUT[3814] = 16'hc12b;
3974
RsqrteLUT[3815] = 16'hc127;
3975
RsqrteLUT[3816] = 16'hc123;
3976
RsqrteLUT[3817] = 16'hc11f;
3977
RsqrteLUT[3818] = 16'hc11b;
3978
RsqrteLUT[3819] = 16'hc117;
3979
RsqrteLUT[3820] = 16'hc114;
3980
RsqrteLUT[3821] = 16'hc110;
3981
RsqrteLUT[3822] = 16'hc10d;
3982
RsqrteLUT[3823] = 16'hc109;
3983
RsqrteLUT[3824] = 16'hc106;
3984
RsqrteLUT[3825] = 16'hc102;
3985
RsqrteLUT[3826] = 16'hc0ff;
3986
RsqrteLUT[3827] = 16'hc0fb;
3987
RsqrteLUT[3828] = 16'hc0f8;
3988
RsqrteLUT[3829] = 16'hc0f5;
3989
RsqrteLUT[3830] = 16'hc0f2;
3990
RsqrteLUT[3831] = 16'hc0ee;
3991
RsqrteLUT[3832] = 16'hc0eb;
3992
RsqrteLUT[3833] = 16'hc0e8;
3993
RsqrteLUT[3834] = 16'hc0e5;
3994
RsqrteLUT[3835] = 16'hc0e2;
3995
RsqrteLUT[3836] = 16'hc0df;
3996
RsqrteLUT[3837] = 16'hc0dc;
3997
RsqrteLUT[3838] = 16'hc0d9;
3998
RsqrteLUT[3839] = 16'hc0d6;
3999
RsqrteLUT[3840] = 16'hc0d4;
4000
RsqrteLUT[3841] = 16'hc0ce;
4001
RsqrteLUT[3842] = 16'hc0c9;
4002
RsqrteLUT[3843] = 16'hc0c3;
4003
RsqrteLUT[3844] = 16'hc0be;
4004
RsqrteLUT[3845] = 16'hc0b9;
4005
RsqrteLUT[3846] = 16'hc0b4;
4006
RsqrteLUT[3847] = 16'hc0af;
4007
RsqrteLUT[3848] = 16'hc0aa;
4008
RsqrteLUT[3849] = 16'hc0a5;
4009
RsqrteLUT[3850] = 16'hc0a1;
4010
RsqrteLUT[3851] = 16'hc09c;
4011
RsqrteLUT[3852] = 16'hc098;
4012
RsqrteLUT[3853] = 16'hc094;
4013
RsqrteLUT[3854] = 16'hc08f;
4014
RsqrteLUT[3855] = 16'hc08b;
4015
RsqrteLUT[3856] = 16'hc087;
4016
RsqrteLUT[3857] = 16'hc083;
4017
RsqrteLUT[3858] = 16'hc07f;
4018
RsqrteLUT[3859] = 16'hc07b;
4019
RsqrteLUT[3860] = 16'hc078;
4020
RsqrteLUT[3861] = 16'hc074;
4021
RsqrteLUT[3862] = 16'hc070;
4022
RsqrteLUT[3863] = 16'hc06d;
4023
RsqrteLUT[3864] = 16'hc069;
4024
RsqrteLUT[3865] = 16'hc066;
4025
RsqrteLUT[3866] = 16'hc062;
4026
RsqrteLUT[3867] = 16'hc05f;
4027
RsqrteLUT[3868] = 16'hc05b;
4028
RsqrteLUT[3869] = 16'hc058;
4029
RsqrteLUT[3870] = 16'hc055;
4030
RsqrteLUT[3871] = 16'hc052;
4031
RsqrteLUT[3872] = 16'hc04f;
4032
RsqrteLUT[3873] = 16'hc04c;
4033
RsqrteLUT[3874] = 16'hc049;
4034
RsqrteLUT[3875] = 16'hc046;
4035
RsqrteLUT[3876] = 16'hc043;
4036
RsqrteLUT[3877] = 16'hc040;
4037
RsqrteLUT[3878] = 16'hc03d;
4038
RsqrteLUT[3879] = 16'hc03a;
4039
RsqrteLUT[3880] = 16'hc038;
4040
RsqrteLUT[3881] = 16'hc035;
4041
RsqrteLUT[3882] = 16'hc032;
4042
RsqrteLUT[3883] = 16'hc02f;
4043
RsqrteLUT[3884] = 16'hc02d;
4044
RsqrteLUT[3885] = 16'hc02a;
4045
RsqrteLUT[3886] = 16'hc028;
4046
RsqrteLUT[3887] = 16'hc025;
4047
RsqrteLUT[3888] = 16'hc023;
4048
RsqrteLUT[3889] = 16'hc020;
4049
RsqrteLUT[3890] = 16'hc01e;
4050
RsqrteLUT[3891] = 16'hc01c;
4051
RsqrteLUT[3892] = 16'hc019;
4052
RsqrteLUT[3893] = 16'hc017;
4053
RsqrteLUT[3894] = 16'hc015;
4054
RsqrteLUT[3895] = 16'hc013;
4055
RsqrteLUT[3896] = 16'hc010;
4056
RsqrteLUT[3897] = 16'hc00e;
4057
RsqrteLUT[3898] = 16'hc00c;
4058
RsqrteLUT[3899] = 16'hc00a;
4059
RsqrteLUT[3900] = 16'hc008;
4060
RsqrteLUT[3901] = 16'hc006;
4061
RsqrteLUT[3902] = 16'hc004;
4062
RsqrteLUT[3903] = 16'hc002;
4063
RsqrteLUT[3904] = 16'hc000;
4064
RsqrteLUT[3905] = 16'hbff8;
4065
RsqrteLUT[3906] = 16'hbff0;
4066
RsqrteLUT[3907] = 16'hbfe8;
4067
RsqrteLUT[3908] = 16'hbfe1;
4068
RsqrteLUT[3909] = 16'hbfda;
4069
RsqrteLUT[3910] = 16'hbfd3;
4070
RsqrteLUT[3911] = 16'hbfcc;
4071
RsqrteLUT[3912] = 16'hbfc5;
4072
RsqrteLUT[3913] = 16'hbfbe;
4073
RsqrteLUT[3914] = 16'hbfb8;
4074
RsqrteLUT[3915] = 16'hbfb1;
4075
RsqrteLUT[3916] = 16'hbfab;
4076
RsqrteLUT[3917] = 16'hbfa5;
4077
RsqrteLUT[3918] = 16'hbf9f;
4078
RsqrteLUT[3919] = 16'hbf99;
4079
RsqrteLUT[3920] = 16'hbf93;
4080
RsqrteLUT[3921] = 16'hbf8e;
4081
RsqrteLUT[3922] = 16'hbf88;
4082
RsqrteLUT[3923] = 16'hbf83;
4083
RsqrteLUT[3924] = 16'hbf7d;
4084
RsqrteLUT[3925] = 16'hbf78;
4085
RsqrteLUT[3926] = 16'hbf73;
4086
RsqrteLUT[3927] = 16'hbf6e;
4087
RsqrteLUT[3928] = 16'hbf69;
4088
RsqrteLUT[3929] = 16'hbf64;
4089
RsqrteLUT[3930] = 16'hbf5f;
4090
RsqrteLUT[3931] = 16'hbf5a;
4091
RsqrteLUT[3932] = 16'hbf56;
4092
RsqrteLUT[3933] = 16'hbf51;
4093
RsqrteLUT[3934] = 16'hbf4c;
4094
RsqrteLUT[3935] = 16'hbf48;
4095
RsqrteLUT[3936] = 16'hbf44;
4096
RsqrteLUT[3937] = 16'hbf3f;
4097
RsqrteLUT[3938] = 16'hbf3b;
4098
RsqrteLUT[3939] = 16'hbf37;
4099
RsqrteLUT[3940] = 16'hbf33;
4100
RsqrteLUT[3941] = 16'hbf2f;
4101
RsqrteLUT[3942] = 16'hbf2b;
4102
RsqrteLUT[3943] = 16'hbf27;
4103
RsqrteLUT[3944] = 16'hbf23;
4104
RsqrteLUT[3945] = 16'hbf1f;
4105
RsqrteLUT[3946] = 16'hbf1b;
4106
RsqrteLUT[3947] = 16'hbf17;
4107
RsqrteLUT[3948] = 16'hbf14;
4108
RsqrteLUT[3949] = 16'hbf10;
4109
RsqrteLUT[3950] = 16'hbf0d;
4110
RsqrteLUT[3951] = 16'hbf09;
4111
RsqrteLUT[3952] = 16'hbf06;
4112
RsqrteLUT[3953] = 16'hbf02;
4113
RsqrteLUT[3954] = 16'hbeff;
4114
RsqrteLUT[3955] = 16'hbefb;
4115
RsqrteLUT[3956] = 16'hbef8;
4116
RsqrteLUT[3957] = 16'hbef5;
4117
RsqrteLUT[3958] = 16'hbef2;
4118
RsqrteLUT[3959] = 16'hbeee;
4119
RsqrteLUT[3960] = 16'hbeeb;
4120
RsqrteLUT[3961] = 16'hbee8;
4121
RsqrteLUT[3962] = 16'hbee5;
4122
RsqrteLUT[3963] = 16'hbee2;
4123
RsqrteLUT[3964] = 16'hbedf;
4124
RsqrteLUT[3965] = 16'hbedc;
4125
RsqrteLUT[3966] = 16'hbed9;
4126
RsqrteLUT[3967] = 16'hbed6;
4127
RsqrteLUT[3968] = 16'hbed4;
4128
RsqrteLUT[3969] = 16'hbece;
4129
RsqrteLUT[3970] = 16'hbec9;
4130
RsqrteLUT[3971] = 16'hbec3;
4131
RsqrteLUT[3972] = 16'hbebe;
4132
RsqrteLUT[3973] = 16'hbeb9;
4133
RsqrteLUT[3974] = 16'hbeb4;
4134
RsqrteLUT[3975] = 16'hbeaf;
4135
RsqrteLUT[3976] = 16'hbeaa;
4136
RsqrteLUT[3977] = 16'hbea5;
4137
RsqrteLUT[3978] = 16'hbea1;
4138
RsqrteLUT[3979] = 16'hbe9c;
4139
RsqrteLUT[3980] = 16'hbe98;
4140
RsqrteLUT[3981] = 16'hbe94;
4141
RsqrteLUT[3982] = 16'hbe8f;
4142
RsqrteLUT[3983] = 16'hbe8b;
4143
RsqrteLUT[3984] = 16'hbe87;
4144
RsqrteLUT[3985] = 16'hbe83;
4145
RsqrteLUT[3986] = 16'hbe7f;
4146
RsqrteLUT[3987] = 16'hbe7b;
4147
RsqrteLUT[3988] = 16'hbe78;
4148
RsqrteLUT[3989] = 16'hbe74;
4149
RsqrteLUT[3990] = 16'hbe70;
4150
RsqrteLUT[3991] = 16'hbe6d;
4151
RsqrteLUT[3992] = 16'hbe69;
4152
RsqrteLUT[3993] = 16'hbe66;
4153
RsqrteLUT[3994] = 16'hbe62;
4154
RsqrteLUT[3995] = 16'hbe5f;
4155
RsqrteLUT[3996] = 16'hbe5b;
4156
RsqrteLUT[3997] = 16'hbe58;
4157
RsqrteLUT[3998] = 16'hbe55;
4158
RsqrteLUT[3999] = 16'hbe52;
4159
RsqrteLUT[4000] = 16'hbe4f;
4160
RsqrteLUT[4001] = 16'hbe4c;
4161
RsqrteLUT[4002] = 16'hbe49;
4162
RsqrteLUT[4003] = 16'hbe46;
4163
RsqrteLUT[4004] = 16'hbe43;
4164
RsqrteLUT[4005] = 16'hbe40;
4165
RsqrteLUT[4006] = 16'hbe3d;
4166
RsqrteLUT[4007] = 16'hbe3a;
4167
RsqrteLUT[4008] = 16'hbe38;
4168
RsqrteLUT[4009] = 16'hbe35;
4169
RsqrteLUT[4010] = 16'hbe32;
4170
RsqrteLUT[4011] = 16'hbe2f;
4171
RsqrteLUT[4012] = 16'hbe2d;
4172
RsqrteLUT[4013] = 16'hbe2a;
4173
RsqrteLUT[4014] = 16'hbe28;
4174
RsqrteLUT[4015] = 16'hbe25;
4175
RsqrteLUT[4016] = 16'hbe23;
4176
RsqrteLUT[4017] = 16'hbe20;
4177
RsqrteLUT[4018] = 16'hbe1e;
4178
RsqrteLUT[4019] = 16'hbe1c;
4179
RsqrteLUT[4020] = 16'hbe19;
4180
RsqrteLUT[4021] = 16'hbe17;
4181
RsqrteLUT[4022] = 16'hbe15;
4182
RsqrteLUT[4023] = 16'hbe13;
4183
RsqrteLUT[4024] = 16'hbe10;
4184
RsqrteLUT[4025] = 16'hbe0e;
4185
RsqrteLUT[4026] = 16'hbe0c;
4186
RsqrteLUT[4027] = 16'hbe0a;
4187
RsqrteLUT[4028] = 16'hbe08;
4188
RsqrteLUT[4029] = 16'hbe06;
4189
RsqrteLUT[4030] = 16'hbe04;
4190
RsqrteLUT[4031] = 16'hbe02;
4191
RsqrteLUT[4032] = 16'hbe00;
4192
RsqrteLUT[4033] = 16'hbdf8;
4193
RsqrteLUT[4034] = 16'hbdf0;
4194
RsqrteLUT[4035] = 16'hbde8;
4195
RsqrteLUT[4036] = 16'hbde1;
4196
RsqrteLUT[4037] = 16'hbdda;
4197
RsqrteLUT[4038] = 16'hbdd3;
4198
RsqrteLUT[4039] = 16'hbdcc;
4199
RsqrteLUT[4040] = 16'hbdc5;
4200
RsqrteLUT[4041] = 16'hbdbe;
4201
RsqrteLUT[4042] = 16'hbdb8;
4202
RsqrteLUT[4043] = 16'hbdb1;
4203
RsqrteLUT[4044] = 16'hbdab;
4204
RsqrteLUT[4045] = 16'hbda5;
4205
RsqrteLUT[4046] = 16'hbd9f;
4206
RsqrteLUT[4047] = 16'hbd99;
4207
RsqrteLUT[4048] = 16'hbd93;
4208
RsqrteLUT[4049] = 16'hbd8e;
4209
RsqrteLUT[4050] = 16'hbd88;
4210
RsqrteLUT[4051] = 16'hbd83;
4211
RsqrteLUT[4052] = 16'hbd7d;
4212
RsqrteLUT[4053] = 16'hbd78;
4213
RsqrteLUT[4054] = 16'hbd73;
4214
RsqrteLUT[4055] = 16'hbd6e;
4215
RsqrteLUT[4056] = 16'hbd69;
4216
RsqrteLUT[4057] = 16'hbd64;
4217
RsqrteLUT[4058] = 16'hbd5f;
4218
RsqrteLUT[4059] = 16'hbd5a;
4219
RsqrteLUT[4060] = 16'hbd56;
4220
RsqrteLUT[4061] = 16'hbd51;
4221
RsqrteLUT[4062] = 16'hbd4c;
4222
RsqrteLUT[4063] = 16'hbd48;
4223
RsqrteLUT[4064] = 16'hbd44;
4224
RsqrteLUT[4065] = 16'hbd3f;
4225
RsqrteLUT[4066] = 16'hbd3b;
4226
RsqrteLUT[4067] = 16'hbd37;
4227
RsqrteLUT[4068] = 16'hbd33;
4228
RsqrteLUT[4069] = 16'hbd2f;
4229
RsqrteLUT[4070] = 16'hbd2b;
4230
RsqrteLUT[4071] = 16'hbd27;
4231
RsqrteLUT[4072] = 16'hbd23;
4232
RsqrteLUT[4073] = 16'hbd1f;
4233
RsqrteLUT[4074] = 16'hbd1b;
4234
RsqrteLUT[4075] = 16'hbd17;
4235
RsqrteLUT[4076] = 16'hbd14;
4236
RsqrteLUT[4077] = 16'hbd10;
4237
RsqrteLUT[4078] = 16'hbd0d;
4238
RsqrteLUT[4079] = 16'hbd09;
4239
RsqrteLUT[4080] = 16'hbd06;
4240
RsqrteLUT[4081] = 16'hbd02;
4241
RsqrteLUT[4082] = 16'hbcff;
4242
RsqrteLUT[4083] = 16'hbcfb;
4243
RsqrteLUT[4084] = 16'hbcf8;
4244
RsqrteLUT[4085] = 16'hbcf5;
4245
RsqrteLUT[4086] = 16'hbcf2;
4246
RsqrteLUT[4087] = 16'hbcee;
4247
RsqrteLUT[4088] = 16'hbceb;
4248
RsqrteLUT[4089] = 16'hbce8;
4249
RsqrteLUT[4090] = 16'hbce5;
4250
RsqrteLUT[4091] = 16'hbce2;
4251
RsqrteLUT[4092] = 16'hbcdf;
4252
RsqrteLUT[4093] = 16'hbcdc;
4253
RsqrteLUT[4094] = 16'hbcd9;
4254
RsqrteLUT[4095] = 16'hbcd6;
4255
RsqrteLUT[4096] = 16'hbcd4;
4256
RsqrteLUT[4097] = 16'hbcce;
4257
RsqrteLUT[4098] = 16'hbcc9;
4258
RsqrteLUT[4099] = 16'hbcc3;
4259
RsqrteLUT[4100] = 16'hbcbe;
4260
RsqrteLUT[4101] = 16'hbcb9;
4261
RsqrteLUT[4102] = 16'hbcb4;
4262
RsqrteLUT[4103] = 16'hbcaf;
4263
RsqrteLUT[4104] = 16'hbcaa;
4264
RsqrteLUT[4105] = 16'hbca5;
4265
RsqrteLUT[4106] = 16'hbca1;
4266
RsqrteLUT[4107] = 16'hbc9c;
4267
RsqrteLUT[4108] = 16'hbc98;
4268
RsqrteLUT[4109] = 16'hbc94;
4269
RsqrteLUT[4110] = 16'hbc8f;
4270
RsqrteLUT[4111] = 16'hbc8b;
4271
RsqrteLUT[4112] = 16'hbc87;
4272
RsqrteLUT[4113] = 16'hbc83;
4273
RsqrteLUT[4114] = 16'hbc7f;
4274
RsqrteLUT[4115] = 16'hbc7b;
4275
RsqrteLUT[4116] = 16'hbc78;
4276
RsqrteLUT[4117] = 16'hbc74;
4277
RsqrteLUT[4118] = 16'hbc70;
4278
RsqrteLUT[4119] = 16'hbc6d;
4279
RsqrteLUT[4120] = 16'hbc69;
4280
RsqrteLUT[4121] = 16'hbc66;
4281
RsqrteLUT[4122] = 16'hbc62;
4282
RsqrteLUT[4123] = 16'hbc5f;
4283
RsqrteLUT[4124] = 16'hbc5b;
4284
RsqrteLUT[4125] = 16'hbc58;
4285
RsqrteLUT[4126] = 16'hbc55;
4286
RsqrteLUT[4127] = 16'hbc52;
4287
RsqrteLUT[4128] = 16'hbc4f;
4288
RsqrteLUT[4129] = 16'hbc4c;
4289
RsqrteLUT[4130] = 16'hbc49;
4290
RsqrteLUT[4131] = 16'hbc46;
4291
RsqrteLUT[4132] = 16'hbc43;
4292
RsqrteLUT[4133] = 16'hbc40;
4293
RsqrteLUT[4134] = 16'hbc3d;
4294
RsqrteLUT[4135] = 16'hbc3a;
4295
RsqrteLUT[4136] = 16'hbc38;
4296
RsqrteLUT[4137] = 16'hbc35;
4297
RsqrteLUT[4138] = 16'hbc32;
4298
RsqrteLUT[4139] = 16'hbc2f;
4299
RsqrteLUT[4140] = 16'hbc2d;
4300
RsqrteLUT[4141] = 16'hbc2a;
4301
RsqrteLUT[4142] = 16'hbc28;
4302
RsqrteLUT[4143] = 16'hbc25;
4303
RsqrteLUT[4144] = 16'hbc23;
4304
RsqrteLUT[4145] = 16'hbc20;
4305
RsqrteLUT[4146] = 16'hbc1e;
4306
RsqrteLUT[4147] = 16'hbc1c;
4307
RsqrteLUT[4148] = 16'hbc19;
4308
RsqrteLUT[4149] = 16'hbc17;
4309
RsqrteLUT[4150] = 16'hbc15;
4310
RsqrteLUT[4151] = 16'hbc13;
4311
RsqrteLUT[4152] = 16'hbc10;
4312
RsqrteLUT[4153] = 16'hbc0e;
4313
RsqrteLUT[4154] = 16'hbc0c;
4314
RsqrteLUT[4155] = 16'hbc0a;
4315
RsqrteLUT[4156] = 16'hbc08;
4316
RsqrteLUT[4157] = 16'hbc06;
4317
RsqrteLUT[4158] = 16'hbc04;
4318
RsqrteLUT[4159] = 16'hbc02;
4319
RsqrteLUT[4160] = 16'hbc00;
4320
RsqrteLUT[4161] = 16'hbbf8;
4321
RsqrteLUT[4162] = 16'hbbf0;
4322
RsqrteLUT[4163] = 16'hbbe8;
4323
RsqrteLUT[4164] = 16'hbbe1;
4324
RsqrteLUT[4165] = 16'hbbda;
4325
RsqrteLUT[4166] = 16'hbbd3;
4326
RsqrteLUT[4167] = 16'hbbcc;
4327
RsqrteLUT[4168] = 16'hbbc5;
4328
RsqrteLUT[4169] = 16'hbbbe;
4329
RsqrteLUT[4170] = 16'hbbb8;
4330
RsqrteLUT[4171] = 16'hbbb1;
4331
RsqrteLUT[4172] = 16'hbbab;
4332
RsqrteLUT[4173] = 16'hbba5;
4333
RsqrteLUT[4174] = 16'hbb9f;
4334
RsqrteLUT[4175] = 16'hbb99;
4335
RsqrteLUT[4176] = 16'hbb93;
4336
RsqrteLUT[4177] = 16'hbb8e;
4337
RsqrteLUT[4178] = 16'hbb88;
4338
RsqrteLUT[4179] = 16'hbb83;
4339
RsqrteLUT[4180] = 16'hbb7d;
4340
RsqrteLUT[4181] = 16'hbb78;
4341
RsqrteLUT[4182] = 16'hbb73;
4342
RsqrteLUT[4183] = 16'hbb6e;
4343
RsqrteLUT[4184] = 16'hbb69;
4344
RsqrteLUT[4185] = 16'hbb64;
4345
RsqrteLUT[4186] = 16'hbb5f;
4346
RsqrteLUT[4187] = 16'hbb5a;
4347
RsqrteLUT[4188] = 16'hbb56;
4348
RsqrteLUT[4189] = 16'hbb51;
4349
RsqrteLUT[4190] = 16'hbb4c;
4350
RsqrteLUT[4191] = 16'hbb48;
4351
RsqrteLUT[4192] = 16'hbb44;
4352
RsqrteLUT[4193] = 16'hbb3f;
4353
RsqrteLUT[4194] = 16'hbb3b;
4354
RsqrteLUT[4195] = 16'hbb37;
4355
RsqrteLUT[4196] = 16'hbb33;
4356
RsqrteLUT[4197] = 16'hbb2f;
4357
RsqrteLUT[4198] = 16'hbb2b;
4358
RsqrteLUT[4199] = 16'hbb27;
4359
RsqrteLUT[4200] = 16'hbb23;
4360
RsqrteLUT[4201] = 16'hbb1f;
4361
RsqrteLUT[4202] = 16'hbb1b;
4362
RsqrteLUT[4203] = 16'hbb17;
4363
RsqrteLUT[4204] = 16'hbb14;
4364
RsqrteLUT[4205] = 16'hbb10;
4365
RsqrteLUT[4206] = 16'hbb0d;
4366
RsqrteLUT[4207] = 16'hbb09;
4367
RsqrteLUT[4208] = 16'hbb06;
4368
RsqrteLUT[4209] = 16'hbb02;
4369
RsqrteLUT[4210] = 16'hbaff;
4370
RsqrteLUT[4211] = 16'hbafb;
4371
RsqrteLUT[4212] = 16'hbaf8;
4372
RsqrteLUT[4213] = 16'hbaf5;
4373
RsqrteLUT[4214] = 16'hbaf2;
4374
RsqrteLUT[4215] = 16'hbaee;
4375
RsqrteLUT[4216] = 16'hbaeb;
4376
RsqrteLUT[4217] = 16'hbae8;
4377
RsqrteLUT[4218] = 16'hbae5;
4378
RsqrteLUT[4219] = 16'hbae2;
4379
RsqrteLUT[4220] = 16'hbadf;
4380
RsqrteLUT[4221] = 16'hbadc;
4381
RsqrteLUT[4222] = 16'hbad9;
4382
RsqrteLUT[4223] = 16'hbad6;
4383
RsqrteLUT[4224] = 16'hbad4;
4384
RsqrteLUT[4225] = 16'hbace;
4385
RsqrteLUT[4226] = 16'hbac9;
4386
RsqrteLUT[4227] = 16'hbac3;
4387
RsqrteLUT[4228] = 16'hbabe;
4388
RsqrteLUT[4229] = 16'hbab9;
4389
RsqrteLUT[4230] = 16'hbab4;
4390
RsqrteLUT[4231] = 16'hbaaf;
4391
RsqrteLUT[4232] = 16'hbaaa;
4392
RsqrteLUT[4233] = 16'hbaa5;
4393
RsqrteLUT[4234] = 16'hbaa1;
4394
RsqrteLUT[4235] = 16'hba9c;
4395
RsqrteLUT[4236] = 16'hba98;
4396
RsqrteLUT[4237] = 16'hba94;
4397
RsqrteLUT[4238] = 16'hba8f;
4398
RsqrteLUT[4239] = 16'hba8b;
4399
RsqrteLUT[4240] = 16'hba87;
4400
RsqrteLUT[4241] = 16'hba83;
4401
RsqrteLUT[4242] = 16'hba7f;
4402
RsqrteLUT[4243] = 16'hba7b;
4403
RsqrteLUT[4244] = 16'hba78;
4404
RsqrteLUT[4245] = 16'hba74;
4405
RsqrteLUT[4246] = 16'hba70;
4406
RsqrteLUT[4247] = 16'hba6d;
4407
RsqrteLUT[4248] = 16'hba69;
4408
RsqrteLUT[4249] = 16'hba66;
4409
RsqrteLUT[4250] = 16'hba62;
4410
RsqrteLUT[4251] = 16'hba5f;
4411
RsqrteLUT[4252] = 16'hba5b;
4412
RsqrteLUT[4253] = 16'hba58;
4413
RsqrteLUT[4254] = 16'hba55;
4414
RsqrteLUT[4255] = 16'hba52;
4415
RsqrteLUT[4256] = 16'hba4f;
4416
RsqrteLUT[4257] = 16'hba4c;
4417
RsqrteLUT[4258] = 16'hba49;
4418
RsqrteLUT[4259] = 16'hba46;
4419
RsqrteLUT[4260] = 16'hba43;
4420
RsqrteLUT[4261] = 16'hba40;
4421
RsqrteLUT[4262] = 16'hba3d;
4422
RsqrteLUT[4263] = 16'hba3a;
4423
RsqrteLUT[4264] = 16'hba38;
4424
RsqrteLUT[4265] = 16'hba35;
4425
RsqrteLUT[4266] = 16'hba32;
4426
RsqrteLUT[4267] = 16'hba2f;
4427
RsqrteLUT[4268] = 16'hba2d;
4428
RsqrteLUT[4269] = 16'hba2a;
4429
RsqrteLUT[4270] = 16'hba28;
4430
RsqrteLUT[4271] = 16'hba25;
4431
RsqrteLUT[4272] = 16'hba23;
4432
RsqrteLUT[4273] = 16'hba20;
4433
RsqrteLUT[4274] = 16'hba1e;
4434
RsqrteLUT[4275] = 16'hba1c;
4435
RsqrteLUT[4276] = 16'hba19;
4436
RsqrteLUT[4277] = 16'hba17;
4437
RsqrteLUT[4278] = 16'hba15;
4438
RsqrteLUT[4279] = 16'hba13;
4439
RsqrteLUT[4280] = 16'hba10;
4440
RsqrteLUT[4281] = 16'hba0e;
4441
RsqrteLUT[4282] = 16'hba0c;
4442
RsqrteLUT[4283] = 16'hba0a;
4443
RsqrteLUT[4284] = 16'hba08;
4444
RsqrteLUT[4285] = 16'hba06;
4445
RsqrteLUT[4286] = 16'hba04;
4446
RsqrteLUT[4287] = 16'hba02;
4447
RsqrteLUT[4288] = 16'hba00;
4448
RsqrteLUT[4289] = 16'hb9f8;
4449
RsqrteLUT[4290] = 16'hb9f0;
4450
RsqrteLUT[4291] = 16'hb9e8;
4451
RsqrteLUT[4292] = 16'hb9e1;
4452
RsqrteLUT[4293] = 16'hb9da;
4453
RsqrteLUT[4294] = 16'hb9d3;
4454
RsqrteLUT[4295] = 16'hb9cc;
4455
RsqrteLUT[4296] = 16'hb9c5;
4456
RsqrteLUT[4297] = 16'hb9be;
4457
RsqrteLUT[4298] = 16'hb9b8;
4458
RsqrteLUT[4299] = 16'hb9b1;
4459
RsqrteLUT[4300] = 16'hb9ab;
4460
RsqrteLUT[4301] = 16'hb9a5;
4461
RsqrteLUT[4302] = 16'hb99f;
4462
RsqrteLUT[4303] = 16'hb999;
4463
RsqrteLUT[4304] = 16'hb993;
4464
RsqrteLUT[4305] = 16'hb98e;
4465
RsqrteLUT[4306] = 16'hb988;
4466
RsqrteLUT[4307] = 16'hb983;
4467
RsqrteLUT[4308] = 16'hb97d;
4468
RsqrteLUT[4309] = 16'hb978;
4469
RsqrteLUT[4310] = 16'hb973;
4470
RsqrteLUT[4311] = 16'hb96e;
4471
RsqrteLUT[4312] = 16'hb969;
4472
RsqrteLUT[4313] = 16'hb964;
4473
RsqrteLUT[4314] = 16'hb95f;
4474
RsqrteLUT[4315] = 16'hb95a;
4475
RsqrteLUT[4316] = 16'hb956;
4476
RsqrteLUT[4317] = 16'hb951;
4477
RsqrteLUT[4318] = 16'hb94c;
4478
RsqrteLUT[4319] = 16'hb948;
4479
RsqrteLUT[4320] = 16'hb944;
4480
RsqrteLUT[4321] = 16'hb93f;
4481
RsqrteLUT[4322] = 16'hb93b;
4482
RsqrteLUT[4323] = 16'hb937;
4483
RsqrteLUT[4324] = 16'hb933;
4484
RsqrteLUT[4325] = 16'hb92f;
4485
RsqrteLUT[4326] = 16'hb92b;
4486
RsqrteLUT[4327] = 16'hb927;
4487
RsqrteLUT[4328] = 16'hb923;
4488
RsqrteLUT[4329] = 16'hb91f;
4489
RsqrteLUT[4330] = 16'hb91b;
4490
RsqrteLUT[4331] = 16'hb917;
4491
RsqrteLUT[4332] = 16'hb914;
4492
RsqrteLUT[4333] = 16'hb910;
4493
RsqrteLUT[4334] = 16'hb90d;
4494
RsqrteLUT[4335] = 16'hb909;
4495
RsqrteLUT[4336] = 16'hb906;
4496
RsqrteLUT[4337] = 16'hb902;
4497
RsqrteLUT[4338] = 16'hb8ff;
4498
RsqrteLUT[4339] = 16'hb8fb;
4499
RsqrteLUT[4340] = 16'hb8f8;
4500
RsqrteLUT[4341] = 16'hb8f5;
4501
RsqrteLUT[4342] = 16'hb8f2;
4502
RsqrteLUT[4343] = 16'hb8ee;
4503
RsqrteLUT[4344] = 16'hb8eb;
4504
RsqrteLUT[4345] = 16'hb8e8;
4505
RsqrteLUT[4346] = 16'hb8e5;
4506
RsqrteLUT[4347] = 16'hb8e2;
4507
RsqrteLUT[4348] = 16'hb8df;
4508
RsqrteLUT[4349] = 16'hb8dc;
4509
RsqrteLUT[4350] = 16'hb8d9;
4510
RsqrteLUT[4351] = 16'hb8d6;
4511
RsqrteLUT[4352] = 16'hb8d4;
4512
RsqrteLUT[4353] = 16'hb8ce;
4513
RsqrteLUT[4354] = 16'hb8c9;
4514
RsqrteLUT[4355] = 16'hb8c3;
4515
RsqrteLUT[4356] = 16'hb8be;
4516
RsqrteLUT[4357] = 16'hb8b9;
4517
RsqrteLUT[4358] = 16'hb8b4;
4518
RsqrteLUT[4359] = 16'hb8af;
4519
RsqrteLUT[4360] = 16'hb8aa;
4520
RsqrteLUT[4361] = 16'hb8a5;
4521
RsqrteLUT[4362] = 16'hb8a1;
4522
RsqrteLUT[4363] = 16'hb89c;
4523
RsqrteLUT[4364] = 16'hb898;
4524
RsqrteLUT[4365] = 16'hb894;
4525
RsqrteLUT[4366] = 16'hb88f;
4526
RsqrteLUT[4367] = 16'hb88b;
4527
RsqrteLUT[4368] = 16'hb887;
4528
RsqrteLUT[4369] = 16'hb883;
4529
RsqrteLUT[4370] = 16'hb87f;
4530
RsqrteLUT[4371] = 16'hb87b;
4531
RsqrteLUT[4372] = 16'hb878;
4532
RsqrteLUT[4373] = 16'hb874;
4533
RsqrteLUT[4374] = 16'hb870;
4534
RsqrteLUT[4375] = 16'hb86d;
4535
RsqrteLUT[4376] = 16'hb869;
4536
RsqrteLUT[4377] = 16'hb866;
4537
RsqrteLUT[4378] = 16'hb862;
4538
RsqrteLUT[4379] = 16'hb85f;
4539
RsqrteLUT[4380] = 16'hb85b;
4540
RsqrteLUT[4381] = 16'hb858;
4541
RsqrteLUT[4382] = 16'hb855;
4542
RsqrteLUT[4383] = 16'hb852;
4543
RsqrteLUT[4384] = 16'hb84f;
4544
RsqrteLUT[4385] = 16'hb84c;
4545
RsqrteLUT[4386] = 16'hb849;
4546
RsqrteLUT[4387] = 16'hb846;
4547
RsqrteLUT[4388] = 16'hb843;
4548
RsqrteLUT[4389] = 16'hb840;
4549
RsqrteLUT[4390] = 16'hb83d;
4550
RsqrteLUT[4391] = 16'hb83a;
4551
RsqrteLUT[4392] = 16'hb838;
4552
RsqrteLUT[4393] = 16'hb835;
4553
RsqrteLUT[4394] = 16'hb832;
4554
RsqrteLUT[4395] = 16'hb82f;
4555
RsqrteLUT[4396] = 16'hb82d;
4556
RsqrteLUT[4397] = 16'hb82a;
4557
RsqrteLUT[4398] = 16'hb828;
4558
RsqrteLUT[4399] = 16'hb825;
4559
RsqrteLUT[4400] = 16'hb823;
4560
RsqrteLUT[4401] = 16'hb820;
4561
RsqrteLUT[4402] = 16'hb81e;
4562
RsqrteLUT[4403] = 16'hb81c;
4563
RsqrteLUT[4404] = 16'hb819;
4564
RsqrteLUT[4405] = 16'hb817;
4565
RsqrteLUT[4406] = 16'hb815;
4566
RsqrteLUT[4407] = 16'hb813;
4567
RsqrteLUT[4408] = 16'hb810;
4568
RsqrteLUT[4409] = 16'hb80e;
4569
RsqrteLUT[4410] = 16'hb80c;
4570
RsqrteLUT[4411] = 16'hb80a;
4571
RsqrteLUT[4412] = 16'hb808;
4572
RsqrteLUT[4413] = 16'hb806;
4573
RsqrteLUT[4414] = 16'hb804;
4574
RsqrteLUT[4415] = 16'hb802;
4575
RsqrteLUT[4416] = 16'hb800;
4576
RsqrteLUT[4417] = 16'hb7f8;
4577
RsqrteLUT[4418] = 16'hb7f0;
4578
RsqrteLUT[4419] = 16'hb7e8;
4579
RsqrteLUT[4420] = 16'hb7e1;
4580
RsqrteLUT[4421] = 16'hb7da;
4581
RsqrteLUT[4422] = 16'hb7d3;
4582
RsqrteLUT[4423] = 16'hb7cc;
4583
RsqrteLUT[4424] = 16'hb7c5;
4584
RsqrteLUT[4425] = 16'hb7be;
4585
RsqrteLUT[4426] = 16'hb7b8;
4586
RsqrteLUT[4427] = 16'hb7b1;
4587
RsqrteLUT[4428] = 16'hb7ab;
4588
RsqrteLUT[4429] = 16'hb7a5;
4589
RsqrteLUT[4430] = 16'hb79f;
4590
RsqrteLUT[4431] = 16'hb799;
4591
RsqrteLUT[4432] = 16'hb793;
4592
RsqrteLUT[4433] = 16'hb78e;
4593
RsqrteLUT[4434] = 16'hb788;
4594
RsqrteLUT[4435] = 16'hb783;
4595
RsqrteLUT[4436] = 16'hb77d;
4596
RsqrteLUT[4437] = 16'hb778;
4597
RsqrteLUT[4438] = 16'hb773;
4598
RsqrteLUT[4439] = 16'hb76e;
4599
RsqrteLUT[4440] = 16'hb769;
4600
RsqrteLUT[4441] = 16'hb764;
4601
RsqrteLUT[4442] = 16'hb75f;
4602
RsqrteLUT[4443] = 16'hb75a;
4603
RsqrteLUT[4444] = 16'hb756;
4604
RsqrteLUT[4445] = 16'hb751;
4605
RsqrteLUT[4446] = 16'hb74c;
4606
RsqrteLUT[4447] = 16'hb748;
4607
RsqrteLUT[4448] = 16'hb744;
4608
RsqrteLUT[4449] = 16'hb73f;
4609
RsqrteLUT[4450] = 16'hb73b;
4610
RsqrteLUT[4451] = 16'hb737;
4611
RsqrteLUT[4452] = 16'hb733;
4612
RsqrteLUT[4453] = 16'hb72f;
4613
RsqrteLUT[4454] = 16'hb72b;
4614
RsqrteLUT[4455] = 16'hb727;
4615
RsqrteLUT[4456] = 16'hb723;
4616
RsqrteLUT[4457] = 16'hb71f;
4617
RsqrteLUT[4458] = 16'hb71b;
4618
RsqrteLUT[4459] = 16'hb717;
4619
RsqrteLUT[4460] = 16'hb714;
4620
RsqrteLUT[4461] = 16'hb710;
4621
RsqrteLUT[4462] = 16'hb70d;
4622
RsqrteLUT[4463] = 16'hb709;
4623
RsqrteLUT[4464] = 16'hb706;
4624
RsqrteLUT[4465] = 16'hb702;
4625
RsqrteLUT[4466] = 16'hb6ff;
4626
RsqrteLUT[4467] = 16'hb6fb;
4627
RsqrteLUT[4468] = 16'hb6f8;
4628
RsqrteLUT[4469] = 16'hb6f5;
4629
RsqrteLUT[4470] = 16'hb6f2;
4630
RsqrteLUT[4471] = 16'hb6ee;
4631
RsqrteLUT[4472] = 16'hb6eb;
4632
RsqrteLUT[4473] = 16'hb6e8;
4633
RsqrteLUT[4474] = 16'hb6e5;
4634
RsqrteLUT[4475] = 16'hb6e2;
4635
RsqrteLUT[4476] = 16'hb6df;
4636
RsqrteLUT[4477] = 16'hb6dc;
4637
RsqrteLUT[4478] = 16'hb6d9;
4638
RsqrteLUT[4479] = 16'hb6d6;
4639
RsqrteLUT[4480] = 16'hb6d4;
4640
RsqrteLUT[4481] = 16'hb6ce;
4641
RsqrteLUT[4482] = 16'hb6c9;
4642
RsqrteLUT[4483] = 16'hb6c3;
4643
RsqrteLUT[4484] = 16'hb6be;
4644
RsqrteLUT[4485] = 16'hb6b9;
4645
RsqrteLUT[4486] = 16'hb6b4;
4646
RsqrteLUT[4487] = 16'hb6af;
4647
RsqrteLUT[4488] = 16'hb6aa;
4648
RsqrteLUT[4489] = 16'hb6a5;
4649
RsqrteLUT[4490] = 16'hb6a1;
4650
RsqrteLUT[4491] = 16'hb69c;
4651
RsqrteLUT[4492] = 16'hb698;
4652
RsqrteLUT[4493] = 16'hb694;
4653
RsqrteLUT[4494] = 16'hb68f;
4654
RsqrteLUT[4495] = 16'hb68b;
4655
RsqrteLUT[4496] = 16'hb687;
4656
RsqrteLUT[4497] = 16'hb683;
4657
RsqrteLUT[4498] = 16'hb67f;
4658
RsqrteLUT[4499] = 16'hb67b;
4659
RsqrteLUT[4500] = 16'hb678;
4660
RsqrteLUT[4501] = 16'hb674;
4661
RsqrteLUT[4502] = 16'hb670;
4662
RsqrteLUT[4503] = 16'hb66d;
4663
RsqrteLUT[4504] = 16'hb669;
4664
RsqrteLUT[4505] = 16'hb666;
4665
RsqrteLUT[4506] = 16'hb662;
4666
RsqrteLUT[4507] = 16'hb65f;
4667
RsqrteLUT[4508] = 16'hb65b;
4668
RsqrteLUT[4509] = 16'hb658;
4669
RsqrteLUT[4510] = 16'hb655;
4670
RsqrteLUT[4511] = 16'hb652;
4671
RsqrteLUT[4512] = 16'hb64f;
4672
RsqrteLUT[4513] = 16'hb64c;
4673
RsqrteLUT[4514] = 16'hb649;
4674
RsqrteLUT[4515] = 16'hb646;
4675
RsqrteLUT[4516] = 16'hb643;
4676
RsqrteLUT[4517] = 16'hb640;
4677
RsqrteLUT[4518] = 16'hb63d;
4678
RsqrteLUT[4519] = 16'hb63a;
4679
RsqrteLUT[4520] = 16'hb638;
4680
RsqrteLUT[4521] = 16'hb635;
4681
RsqrteLUT[4522] = 16'hb632;
4682
RsqrteLUT[4523] = 16'hb62f;
4683
RsqrteLUT[4524] = 16'hb62d;
4684
RsqrteLUT[4525] = 16'hb62a;
4685
RsqrteLUT[4526] = 16'hb628;
4686
RsqrteLUT[4527] = 16'hb625;
4687
RsqrteLUT[4528] = 16'hb623;
4688
RsqrteLUT[4529] = 16'hb620;
4689
RsqrteLUT[4530] = 16'hb61e;
4690
RsqrteLUT[4531] = 16'hb61c;
4691
RsqrteLUT[4532] = 16'hb619;
4692
RsqrteLUT[4533] = 16'hb617;
4693
RsqrteLUT[4534] = 16'hb615;
4694
RsqrteLUT[4535] = 16'hb613;
4695
RsqrteLUT[4536] = 16'hb610;
4696
RsqrteLUT[4537] = 16'hb60e;
4697
RsqrteLUT[4538] = 16'hb60c;
4698
RsqrteLUT[4539] = 16'hb60a;
4699
RsqrteLUT[4540] = 16'hb608;
4700
RsqrteLUT[4541] = 16'hb606;
4701
RsqrteLUT[4542] = 16'hb604;
4702
RsqrteLUT[4543] = 16'hb602;
4703
RsqrteLUT[4544] = 16'hb600;
4704
RsqrteLUT[4545] = 16'hb5f8;
4705
RsqrteLUT[4546] = 16'hb5f0;
4706
RsqrteLUT[4547] = 16'hb5e8;
4707
RsqrteLUT[4548] = 16'hb5e1;
4708
RsqrteLUT[4549] = 16'hb5da;
4709
RsqrteLUT[4550] = 16'hb5d3;
4710
RsqrteLUT[4551] = 16'hb5cc;
4711
RsqrteLUT[4552] = 16'hb5c5;
4712
RsqrteLUT[4553] = 16'hb5be;
4713
RsqrteLUT[4554] = 16'hb5b8;
4714
RsqrteLUT[4555] = 16'hb5b1;
4715
RsqrteLUT[4556] = 16'hb5ab;
4716
RsqrteLUT[4557] = 16'hb5a5;
4717
RsqrteLUT[4558] = 16'hb59f;
4718
RsqrteLUT[4559] = 16'hb599;
4719
RsqrteLUT[4560] = 16'hb593;
4720
RsqrteLUT[4561] = 16'hb58e;
4721
RsqrteLUT[4562] = 16'hb588;
4722
RsqrteLUT[4563] = 16'hb583;
4723
RsqrteLUT[4564] = 16'hb57d;
4724
RsqrteLUT[4565] = 16'hb578;
4725
RsqrteLUT[4566] = 16'hb573;
4726
RsqrteLUT[4567] = 16'hb56e;
4727
RsqrteLUT[4568] = 16'hb569;
4728
RsqrteLUT[4569] = 16'hb564;
4729
RsqrteLUT[4570] = 16'hb55f;
4730
RsqrteLUT[4571] = 16'hb55a;
4731
RsqrteLUT[4572] = 16'hb556;
4732
RsqrteLUT[4573] = 16'hb551;
4733
RsqrteLUT[4574] = 16'hb54c;
4734
RsqrteLUT[4575] = 16'hb548;
4735
RsqrteLUT[4576] = 16'hb544;
4736
RsqrteLUT[4577] = 16'hb53f;
4737
RsqrteLUT[4578] = 16'hb53b;
4738
RsqrteLUT[4579] = 16'hb537;
4739
RsqrteLUT[4580] = 16'hb533;
4740
RsqrteLUT[4581] = 16'hb52f;
4741
RsqrteLUT[4582] = 16'hb52b;
4742
RsqrteLUT[4583] = 16'hb527;
4743
RsqrteLUT[4584] = 16'hb523;
4744
RsqrteLUT[4585] = 16'hb51f;
4745
RsqrteLUT[4586] = 16'hb51b;
4746
RsqrteLUT[4587] = 16'hb517;
4747
RsqrteLUT[4588] = 16'hb514;
4748
RsqrteLUT[4589] = 16'hb510;
4749
RsqrteLUT[4590] = 16'hb50d;
4750
RsqrteLUT[4591] = 16'hb509;
4751
RsqrteLUT[4592] = 16'hb506;
4752
RsqrteLUT[4593] = 16'hb502;
4753
RsqrteLUT[4594] = 16'hb4ff;
4754
RsqrteLUT[4595] = 16'hb4fb;
4755
RsqrteLUT[4596] = 16'hb4f8;
4756
RsqrteLUT[4597] = 16'hb4f5;
4757
RsqrteLUT[4598] = 16'hb4f2;
4758
RsqrteLUT[4599] = 16'hb4ee;
4759
RsqrteLUT[4600] = 16'hb4eb;
4760
RsqrteLUT[4601] = 16'hb4e8;
4761
RsqrteLUT[4602] = 16'hb4e5;
4762
RsqrteLUT[4603] = 16'hb4e2;
4763
RsqrteLUT[4604] = 16'hb4df;
4764
RsqrteLUT[4605] = 16'hb4dc;
4765
RsqrteLUT[4606] = 16'hb4d9;
4766
RsqrteLUT[4607] = 16'hb4d6;
4767
RsqrteLUT[4608] = 16'hb4d4;
4768
RsqrteLUT[4609] = 16'hb4ce;
4769
RsqrteLUT[4610] = 16'hb4c9;
4770
RsqrteLUT[4611] = 16'hb4c3;
4771
RsqrteLUT[4612] = 16'hb4be;
4772
RsqrteLUT[4613] = 16'hb4b9;
4773
RsqrteLUT[4614] = 16'hb4b4;
4774
RsqrteLUT[4615] = 16'hb4af;
4775
RsqrteLUT[4616] = 16'hb4aa;
4776
RsqrteLUT[4617] = 16'hb4a5;
4777
RsqrteLUT[4618] = 16'hb4a1;
4778
RsqrteLUT[4619] = 16'hb49c;
4779
RsqrteLUT[4620] = 16'hb498;
4780
RsqrteLUT[4621] = 16'hb494;
4781
RsqrteLUT[4622] = 16'hb48f;
4782
RsqrteLUT[4623] = 16'hb48b;
4783
RsqrteLUT[4624] = 16'hb487;
4784
RsqrteLUT[4625] = 16'hb483;
4785
RsqrteLUT[4626] = 16'hb47f;
4786
RsqrteLUT[4627] = 16'hb47b;
4787
RsqrteLUT[4628] = 16'hb478;
4788
RsqrteLUT[4629] = 16'hb474;
4789
RsqrteLUT[4630] = 16'hb470;
4790
RsqrteLUT[4631] = 16'hb46d;
4791
RsqrteLUT[4632] = 16'hb469;
4792
RsqrteLUT[4633] = 16'hb466;
4793
RsqrteLUT[4634] = 16'hb462;
4794
RsqrteLUT[4635] = 16'hb45f;
4795
RsqrteLUT[4636] = 16'hb45b;
4796
RsqrteLUT[4637] = 16'hb458;
4797
RsqrteLUT[4638] = 16'hb455;
4798
RsqrteLUT[4639] = 16'hb452;
4799
RsqrteLUT[4640] = 16'hb44f;
4800
RsqrteLUT[4641] = 16'hb44c;
4801
RsqrteLUT[4642] = 16'hb449;
4802
RsqrteLUT[4643] = 16'hb446;
4803
RsqrteLUT[4644] = 16'hb443;
4804
RsqrteLUT[4645] = 16'hb440;
4805
RsqrteLUT[4646] = 16'hb43d;
4806
RsqrteLUT[4647] = 16'hb43a;
4807
RsqrteLUT[4648] = 16'hb438;
4808
RsqrteLUT[4649] = 16'hb435;
4809
RsqrteLUT[4650] = 16'hb432;
4810
RsqrteLUT[4651] = 16'hb42f;
4811
RsqrteLUT[4652] = 16'hb42d;
4812
RsqrteLUT[4653] = 16'hb42a;
4813
RsqrteLUT[4654] = 16'hb428;
4814
RsqrteLUT[4655] = 16'hb425;
4815
RsqrteLUT[4656] = 16'hb423;
4816
RsqrteLUT[4657] = 16'hb420;
4817
RsqrteLUT[4658] = 16'hb41e;
4818
RsqrteLUT[4659] = 16'hb41c;
4819
RsqrteLUT[4660] = 16'hb419;
4820
RsqrteLUT[4661] = 16'hb417;
4821
RsqrteLUT[4662] = 16'hb415;
4822
RsqrteLUT[4663] = 16'hb413;
4823
RsqrteLUT[4664] = 16'hb410;
4824
RsqrteLUT[4665] = 16'hb40e;
4825
RsqrteLUT[4666] = 16'hb40c;
4826
RsqrteLUT[4667] = 16'hb40a;
4827
RsqrteLUT[4668] = 16'hb408;
4828
RsqrteLUT[4669] = 16'hb406;
4829
RsqrteLUT[4670] = 16'hb404;
4830
RsqrteLUT[4671] = 16'hb402;
4831
RsqrteLUT[4672] = 16'hb400;
4832
RsqrteLUT[4673] = 16'hb3f8;
4833
RsqrteLUT[4674] = 16'hb3f0;
4834
RsqrteLUT[4675] = 16'hb3e8;
4835
RsqrteLUT[4676] = 16'hb3e1;
4836
RsqrteLUT[4677] = 16'hb3da;
4837
RsqrteLUT[4678] = 16'hb3d3;
4838
RsqrteLUT[4679] = 16'hb3cc;
4839
RsqrteLUT[4680] = 16'hb3c5;
4840
RsqrteLUT[4681] = 16'hb3be;
4841
RsqrteLUT[4682] = 16'hb3b8;
4842
RsqrteLUT[4683] = 16'hb3b1;
4843
RsqrteLUT[4684] = 16'hb3ab;
4844
RsqrteLUT[4685] = 16'hb3a5;
4845
RsqrteLUT[4686] = 16'hb39f;
4846
RsqrteLUT[4687] = 16'hb399;
4847
RsqrteLUT[4688] = 16'hb393;
4848
RsqrteLUT[4689] = 16'hb38e;
4849
RsqrteLUT[4690] = 16'hb388;
4850
RsqrteLUT[4691] = 16'hb383;
4851
RsqrteLUT[4692] = 16'hb37d;
4852
RsqrteLUT[4693] = 16'hb378;
4853
RsqrteLUT[4694] = 16'hb373;
4854
RsqrteLUT[4695] = 16'hb36e;
4855
RsqrteLUT[4696] = 16'hb369;
4856
RsqrteLUT[4697] = 16'hb364;
4857
RsqrteLUT[4698] = 16'hb35f;
4858
RsqrteLUT[4699] = 16'hb35a;
4859
RsqrteLUT[4700] = 16'hb356;
4860
RsqrteLUT[4701] = 16'hb351;
4861
RsqrteLUT[4702] = 16'hb34c;
4862
RsqrteLUT[4703] = 16'hb348;
4863
RsqrteLUT[4704] = 16'hb344;
4864
RsqrteLUT[4705] = 16'hb33f;
4865
RsqrteLUT[4706] = 16'hb33b;
4866
RsqrteLUT[4707] = 16'hb337;
4867
RsqrteLUT[4708] = 16'hb333;
4868
RsqrteLUT[4709] = 16'hb32f;
4869
RsqrteLUT[4710] = 16'hb32b;
4870
RsqrteLUT[4711] = 16'hb327;
4871
RsqrteLUT[4712] = 16'hb323;
4872
RsqrteLUT[4713] = 16'hb31f;
4873
RsqrteLUT[4714] = 16'hb31b;
4874
RsqrteLUT[4715] = 16'hb317;
4875
RsqrteLUT[4716] = 16'hb314;
4876
RsqrteLUT[4717] = 16'hb310;
4877
RsqrteLUT[4718] = 16'hb30d;
4878
RsqrteLUT[4719] = 16'hb309;
4879
RsqrteLUT[4720] = 16'hb306;
4880
RsqrteLUT[4721] = 16'hb302;
4881
RsqrteLUT[4722] = 16'hb2ff;
4882
RsqrteLUT[4723] = 16'hb2fb;
4883
RsqrteLUT[4724] = 16'hb2f8;
4884
RsqrteLUT[4725] = 16'hb2f5;
4885
RsqrteLUT[4726] = 16'hb2f2;
4886
RsqrteLUT[4727] = 16'hb2ee;
4887
RsqrteLUT[4728] = 16'hb2eb;
4888
RsqrteLUT[4729] = 16'hb2e8;
4889
RsqrteLUT[4730] = 16'hb2e5;
4890
RsqrteLUT[4731] = 16'hb2e2;
4891
RsqrteLUT[4732] = 16'hb2df;
4892
RsqrteLUT[4733] = 16'hb2dc;
4893
RsqrteLUT[4734] = 16'hb2d9;
4894
RsqrteLUT[4735] = 16'hb2d6;
4895
RsqrteLUT[4736] = 16'hb2d4;
4896
RsqrteLUT[4737] = 16'hb2ce;
4897
RsqrteLUT[4738] = 16'hb2c9;
4898
RsqrteLUT[4739] = 16'hb2c3;
4899
RsqrteLUT[4740] = 16'hb2be;
4900
RsqrteLUT[4741] = 16'hb2b9;
4901
RsqrteLUT[4742] = 16'hb2b4;
4902
RsqrteLUT[4743] = 16'hb2af;
4903
RsqrteLUT[4744] = 16'hb2aa;
4904
RsqrteLUT[4745] = 16'hb2a5;
4905
RsqrteLUT[4746] = 16'hb2a1;
4906
RsqrteLUT[4747] = 16'hb29c;
4907
RsqrteLUT[4748] = 16'hb298;
4908
RsqrteLUT[4749] = 16'hb294;
4909
RsqrteLUT[4750] = 16'hb28f;
4910
RsqrteLUT[4751] = 16'hb28b;
4911
RsqrteLUT[4752] = 16'hb287;
4912
RsqrteLUT[4753] = 16'hb283;
4913
RsqrteLUT[4754] = 16'hb27f;
4914
RsqrteLUT[4755] = 16'hb27b;
4915
RsqrteLUT[4756] = 16'hb278;
4916
RsqrteLUT[4757] = 16'hb274;
4917
RsqrteLUT[4758] = 16'hb270;
4918
RsqrteLUT[4759] = 16'hb26d;
4919
RsqrteLUT[4760] = 16'hb269;
4920
RsqrteLUT[4761] = 16'hb266;
4921
RsqrteLUT[4762] = 16'hb262;
4922
RsqrteLUT[4763] = 16'hb25f;
4923
RsqrteLUT[4764] = 16'hb25b;
4924
RsqrteLUT[4765] = 16'hb258;
4925
RsqrteLUT[4766] = 16'hb255;
4926
RsqrteLUT[4767] = 16'hb252;
4927
RsqrteLUT[4768] = 16'hb24f;
4928
RsqrteLUT[4769] = 16'hb24c;
4929
RsqrteLUT[4770] = 16'hb249;
4930
RsqrteLUT[4771] = 16'hb246;
4931
RsqrteLUT[4772] = 16'hb243;
4932
RsqrteLUT[4773] = 16'hb240;
4933
RsqrteLUT[4774] = 16'hb23d;
4934
RsqrteLUT[4775] = 16'hb23a;
4935
RsqrteLUT[4776] = 16'hb238;
4936
RsqrteLUT[4777] = 16'hb235;
4937
RsqrteLUT[4778] = 16'hb232;
4938
RsqrteLUT[4779] = 16'hb22f;
4939
RsqrteLUT[4780] = 16'hb22d;
4940
RsqrteLUT[4781] = 16'hb22a;
4941
RsqrteLUT[4782] = 16'hb228;
4942
RsqrteLUT[4783] = 16'hb225;
4943
RsqrteLUT[4784] = 16'hb223;
4944
RsqrteLUT[4785] = 16'hb220;
4945
RsqrteLUT[4786] = 16'hb21e;
4946
RsqrteLUT[4787] = 16'hb21c;
4947
RsqrteLUT[4788] = 16'hb219;
4948
RsqrteLUT[4789] = 16'hb217;
4949
RsqrteLUT[4790] = 16'hb215;
4950
RsqrteLUT[4791] = 16'hb213;
4951
RsqrteLUT[4792] = 16'hb210;
4952
RsqrteLUT[4793] = 16'hb20e;
4953
RsqrteLUT[4794] = 16'hb20c;
4954
RsqrteLUT[4795] = 16'hb20a;
4955
RsqrteLUT[4796] = 16'hb208;
4956
RsqrteLUT[4797] = 16'hb206;
4957
RsqrteLUT[4798] = 16'hb204;
4958
RsqrteLUT[4799] = 16'hb202;
4959
RsqrteLUT[4800] = 16'hb200;
4960
RsqrteLUT[4801] = 16'hb1f8;
4961
RsqrteLUT[4802] = 16'hb1f0;
4962
RsqrteLUT[4803] = 16'hb1e8;
4963
RsqrteLUT[4804] = 16'hb1e1;
4964
RsqrteLUT[4805] = 16'hb1da;
4965
RsqrteLUT[4806] = 16'hb1d3;
4966
RsqrteLUT[4807] = 16'hb1cc;
4967
RsqrteLUT[4808] = 16'hb1c5;
4968
RsqrteLUT[4809] = 16'hb1be;
4969
RsqrteLUT[4810] = 16'hb1b8;
4970
RsqrteLUT[4811] = 16'hb1b1;
4971
RsqrteLUT[4812] = 16'hb1ab;
4972
RsqrteLUT[4813] = 16'hb1a5;
4973
RsqrteLUT[4814] = 16'hb19f;
4974
RsqrteLUT[4815] = 16'hb199;
4975
RsqrteLUT[4816] = 16'hb193;
4976
RsqrteLUT[4817] = 16'hb18e;
4977
RsqrteLUT[4818] = 16'hb188;
4978
RsqrteLUT[4819] = 16'hb183;
4979
RsqrteLUT[4820] = 16'hb17d;
4980
RsqrteLUT[4821] = 16'hb178;
4981
RsqrteLUT[4822] = 16'hb173;
4982
RsqrteLUT[4823] = 16'hb16e;
4983
RsqrteLUT[4824] = 16'hb169;
4984
RsqrteLUT[4825] = 16'hb164;
4985
RsqrteLUT[4826] = 16'hb15f;
4986
RsqrteLUT[4827] = 16'hb15a;
4987
RsqrteLUT[4828] = 16'hb156;
4988
RsqrteLUT[4829] = 16'hb151;
4989
RsqrteLUT[4830] = 16'hb14c;
4990
RsqrteLUT[4831] = 16'hb148;
4991
RsqrteLUT[4832] = 16'hb144;
4992
RsqrteLUT[4833] = 16'hb13f;
4993
RsqrteLUT[4834] = 16'hb13b;
4994
RsqrteLUT[4835] = 16'hb137;
4995
RsqrteLUT[4836] = 16'hb133;
4996
RsqrteLUT[4837] = 16'hb12f;
4997
RsqrteLUT[4838] = 16'hb12b;
4998
RsqrteLUT[4839] = 16'hb127;
4999
RsqrteLUT[4840] = 16'hb123;
5000
RsqrteLUT[4841] = 16'hb11f;
5001
RsqrteLUT[4842] = 16'hb11b;
5002
RsqrteLUT[4843] = 16'hb117;
5003
RsqrteLUT[4844] = 16'hb114;
5004
RsqrteLUT[4845] = 16'hb110;
5005
RsqrteLUT[4846] = 16'hb10d;
5006
RsqrteLUT[4847] = 16'hb109;
5007
RsqrteLUT[4848] = 16'hb106;
5008
RsqrteLUT[4849] = 16'hb102;
5009
RsqrteLUT[4850] = 16'hb0ff;
5010
RsqrteLUT[4851] = 16'hb0fb;
5011
RsqrteLUT[4852] = 16'hb0f8;
5012
RsqrteLUT[4853] = 16'hb0f5;
5013
RsqrteLUT[4854] = 16'hb0f2;
5014
RsqrteLUT[4855] = 16'hb0ee;
5015
RsqrteLUT[4856] = 16'hb0eb;
5016
RsqrteLUT[4857] = 16'hb0e8;
5017
RsqrteLUT[4858] = 16'hb0e5;
5018
RsqrteLUT[4859] = 16'hb0e2;
5019
RsqrteLUT[4860] = 16'hb0df;
5020
RsqrteLUT[4861] = 16'hb0dc;
5021
RsqrteLUT[4862] = 16'hb0d9;
5022
RsqrteLUT[4863] = 16'hb0d6;
5023
RsqrteLUT[4864] = 16'hb0d4;
5024
RsqrteLUT[4865] = 16'hb0ce;
5025
RsqrteLUT[4866] = 16'hb0c9;
5026
RsqrteLUT[4867] = 16'hb0c3;
5027
RsqrteLUT[4868] = 16'hb0be;
5028
RsqrteLUT[4869] = 16'hb0b9;
5029
RsqrteLUT[4870] = 16'hb0b4;
5030
RsqrteLUT[4871] = 16'hb0af;
5031
RsqrteLUT[4872] = 16'hb0aa;
5032
RsqrteLUT[4873] = 16'hb0a5;
5033
RsqrteLUT[4874] = 16'hb0a1;
5034
RsqrteLUT[4875] = 16'hb09c;
5035
RsqrteLUT[4876] = 16'hb098;
5036
RsqrteLUT[4877] = 16'hb094;
5037
RsqrteLUT[4878] = 16'hb08f;
5038
RsqrteLUT[4879] = 16'hb08b;
5039
RsqrteLUT[4880] = 16'hb087;
5040
RsqrteLUT[4881] = 16'hb083;
5041
RsqrteLUT[4882] = 16'hb07f;
5042
RsqrteLUT[4883] = 16'hb07b;
5043
RsqrteLUT[4884] = 16'hb078;
5044
RsqrteLUT[4885] = 16'hb074;
5045
RsqrteLUT[4886] = 16'hb070;
5046
RsqrteLUT[4887] = 16'hb06d;
5047
RsqrteLUT[4888] = 16'hb069;
5048
RsqrteLUT[4889] = 16'hb066;
5049
RsqrteLUT[4890] = 16'hb062;
5050
RsqrteLUT[4891] = 16'hb05f;
5051
RsqrteLUT[4892] = 16'hb05b;
5052
RsqrteLUT[4893] = 16'hb058;
5053
RsqrteLUT[4894] = 16'hb055;
5054
RsqrteLUT[4895] = 16'hb052;
5055
RsqrteLUT[4896] = 16'hb04f;
5056
RsqrteLUT[4897] = 16'hb04c;
5057
RsqrteLUT[4898] = 16'hb049;
5058
RsqrteLUT[4899] = 16'hb046;
5059
RsqrteLUT[4900] = 16'hb043;
5060
RsqrteLUT[4901] = 16'hb040;
5061
RsqrteLUT[4902] = 16'hb03d;
5062
RsqrteLUT[4903] = 16'hb03a;
5063
RsqrteLUT[4904] = 16'hb038;
5064
RsqrteLUT[4905] = 16'hb035;
5065
RsqrteLUT[4906] = 16'hb032;
5066
RsqrteLUT[4907] = 16'hb02f;
5067
RsqrteLUT[4908] = 16'hb02d;
5068
RsqrteLUT[4909] = 16'hb02a;
5069
RsqrteLUT[4910] = 16'hb028;
5070
RsqrteLUT[4911] = 16'hb025;
5071
RsqrteLUT[4912] = 16'hb023;
5072
RsqrteLUT[4913] = 16'hb020;
5073
RsqrteLUT[4914] = 16'hb01e;
5074
RsqrteLUT[4915] = 16'hb01c;
5075
RsqrteLUT[4916] = 16'hb019;
5076
RsqrteLUT[4917] = 16'hb017;
5077
RsqrteLUT[4918] = 16'hb015;
5078
RsqrteLUT[4919] = 16'hb013;
5079
RsqrteLUT[4920] = 16'hb010;
5080
RsqrteLUT[4921] = 16'hb00e;
5081
RsqrteLUT[4922] = 16'hb00c;
5082
RsqrteLUT[4923] = 16'hb00a;
5083
RsqrteLUT[4924] = 16'hb008;
5084
RsqrteLUT[4925] = 16'hb006;
5085
RsqrteLUT[4926] = 16'hb004;
5086
RsqrteLUT[4927] = 16'hb002;
5087
RsqrteLUT[4928] = 16'hb000;
5088
RsqrteLUT[4929] = 16'haff8;
5089
RsqrteLUT[4930] = 16'haff0;
5090
RsqrteLUT[4931] = 16'hafe8;
5091
RsqrteLUT[4932] = 16'hafe1;
5092
RsqrteLUT[4933] = 16'hafda;
5093
RsqrteLUT[4934] = 16'hafd3;
5094
RsqrteLUT[4935] = 16'hafcc;
5095
RsqrteLUT[4936] = 16'hafc5;
5096
RsqrteLUT[4937] = 16'hafbe;
5097
RsqrteLUT[4938] = 16'hafb8;
5098
RsqrteLUT[4939] = 16'hafb1;
5099
RsqrteLUT[4940] = 16'hafab;
5100
RsqrteLUT[4941] = 16'hafa5;
5101
RsqrteLUT[4942] = 16'haf9f;
5102
RsqrteLUT[4943] = 16'haf99;
5103
RsqrteLUT[4944] = 16'haf93;
5104
RsqrteLUT[4945] = 16'haf8e;
5105
RsqrteLUT[4946] = 16'haf88;
5106
RsqrteLUT[4947] = 16'haf83;
5107
RsqrteLUT[4948] = 16'haf7d;
5108
RsqrteLUT[4949] = 16'haf78;
5109
RsqrteLUT[4950] = 16'haf73;
5110
RsqrteLUT[4951] = 16'haf6e;
5111
RsqrteLUT[4952] = 16'haf69;
5112
RsqrteLUT[4953] = 16'haf64;
5113
RsqrteLUT[4954] = 16'haf5f;
5114
RsqrteLUT[4955] = 16'haf5a;
5115
RsqrteLUT[4956] = 16'haf56;
5116
RsqrteLUT[4957] = 16'haf51;
5117
RsqrteLUT[4958] = 16'haf4c;
5118
RsqrteLUT[4959] = 16'haf48;
5119
RsqrteLUT[4960] = 16'haf44;
5120
RsqrteLUT[4961] = 16'haf3f;
5121
RsqrteLUT[4962] = 16'haf3b;
5122
RsqrteLUT[4963] = 16'haf37;
5123
RsqrteLUT[4964] = 16'haf33;
5124
RsqrteLUT[4965] = 16'haf2f;
5125
RsqrteLUT[4966] = 16'haf2b;
5126
RsqrteLUT[4967] = 16'haf27;
5127
RsqrteLUT[4968] = 16'haf23;
5128
RsqrteLUT[4969] = 16'haf1f;
5129
RsqrteLUT[4970] = 16'haf1b;
5130
RsqrteLUT[4971] = 16'haf17;
5131
RsqrteLUT[4972] = 16'haf14;
5132
RsqrteLUT[4973] = 16'haf10;
5133
RsqrteLUT[4974] = 16'haf0d;
5134
RsqrteLUT[4975] = 16'haf09;
5135
RsqrteLUT[4976] = 16'haf06;
5136
RsqrteLUT[4977] = 16'haf02;
5137
RsqrteLUT[4978] = 16'haeff;
5138
RsqrteLUT[4979] = 16'haefb;
5139
RsqrteLUT[4980] = 16'haef8;
5140
RsqrteLUT[4981] = 16'haef5;
5141
RsqrteLUT[4982] = 16'haef2;
5142
RsqrteLUT[4983] = 16'haeee;
5143
RsqrteLUT[4984] = 16'haeeb;
5144
RsqrteLUT[4985] = 16'haee8;
5145
RsqrteLUT[4986] = 16'haee5;
5146
RsqrteLUT[4987] = 16'haee2;
5147
RsqrteLUT[4988] = 16'haedf;
5148
RsqrteLUT[4989] = 16'haedc;
5149
RsqrteLUT[4990] = 16'haed9;
5150
RsqrteLUT[4991] = 16'haed6;
5151
RsqrteLUT[4992] = 16'haed4;
5152
RsqrteLUT[4993] = 16'haece;
5153
RsqrteLUT[4994] = 16'haec9;
5154
RsqrteLUT[4995] = 16'haec3;
5155
RsqrteLUT[4996] = 16'haebe;
5156
RsqrteLUT[4997] = 16'haeb9;
5157
RsqrteLUT[4998] = 16'haeb4;
5158
RsqrteLUT[4999] = 16'haeaf;
5159
RsqrteLUT[5000] = 16'haeaa;
5160
RsqrteLUT[5001] = 16'haea5;
5161
RsqrteLUT[5002] = 16'haea1;
5162
RsqrteLUT[5003] = 16'hae9c;
5163
RsqrteLUT[5004] = 16'hae98;
5164
RsqrteLUT[5005] = 16'hae94;
5165
RsqrteLUT[5006] = 16'hae8f;
5166
RsqrteLUT[5007] = 16'hae8b;
5167
RsqrteLUT[5008] = 16'hae87;
5168
RsqrteLUT[5009] = 16'hae83;
5169
RsqrteLUT[5010] = 16'hae7f;
5170
RsqrteLUT[5011] = 16'hae7b;
5171
RsqrteLUT[5012] = 16'hae78;
5172
RsqrteLUT[5013] = 16'hae74;
5173
RsqrteLUT[5014] = 16'hae70;
5174
RsqrteLUT[5015] = 16'hae6d;
5175
RsqrteLUT[5016] = 16'hae69;
5176
RsqrteLUT[5017] = 16'hae66;
5177
RsqrteLUT[5018] = 16'hae62;
5178
RsqrteLUT[5019] = 16'hae5f;
5179
RsqrteLUT[5020] = 16'hae5b;
5180
RsqrteLUT[5021] = 16'hae58;
5181
RsqrteLUT[5022] = 16'hae55;
5182
RsqrteLUT[5023] = 16'hae52;
5183
RsqrteLUT[5024] = 16'hae4f;
5184
RsqrteLUT[5025] = 16'hae4c;
5185
RsqrteLUT[5026] = 16'hae49;
5186
RsqrteLUT[5027] = 16'hae46;
5187
RsqrteLUT[5028] = 16'hae43;
5188
RsqrteLUT[5029] = 16'hae40;
5189
RsqrteLUT[5030] = 16'hae3d;
5190
RsqrteLUT[5031] = 16'hae3a;
5191
RsqrteLUT[5032] = 16'hae38;
5192
RsqrteLUT[5033] = 16'hae35;
5193
RsqrteLUT[5034] = 16'hae32;
5194
RsqrteLUT[5035] = 16'hae2f;
5195
RsqrteLUT[5036] = 16'hae2d;
5196
RsqrteLUT[5037] = 16'hae2a;
5197
RsqrteLUT[5038] = 16'hae28;
5198
RsqrteLUT[5039] = 16'hae25;
5199
RsqrteLUT[5040] = 16'hae23;
5200
RsqrteLUT[5041] = 16'hae20;
5201
RsqrteLUT[5042] = 16'hae1e;
5202
RsqrteLUT[5043] = 16'hae1c;
5203
RsqrteLUT[5044] = 16'hae19;
5204
RsqrteLUT[5045] = 16'hae17;
5205
RsqrteLUT[5046] = 16'hae15;
5206
RsqrteLUT[5047] = 16'hae13;
5207
RsqrteLUT[5048] = 16'hae10;
5208
RsqrteLUT[5049] = 16'hae0e;
5209
RsqrteLUT[5050] = 16'hae0c;
5210
RsqrteLUT[5051] = 16'hae0a;
5211
RsqrteLUT[5052] = 16'hae08;
5212
RsqrteLUT[5053] = 16'hae06;
5213
RsqrteLUT[5054] = 16'hae04;
5214
RsqrteLUT[5055] = 16'hae02;
5215
RsqrteLUT[5056] = 16'hae00;
5216
RsqrteLUT[5057] = 16'hadf8;
5217
RsqrteLUT[5058] = 16'hadf0;
5218
RsqrteLUT[5059] = 16'hade8;
5219
RsqrteLUT[5060] = 16'hade1;
5220
RsqrteLUT[5061] = 16'hadda;
5221
RsqrteLUT[5062] = 16'hadd3;
5222
RsqrteLUT[5063] = 16'hadcc;
5223
RsqrteLUT[5064] = 16'hadc5;
5224
RsqrteLUT[5065] = 16'hadbe;
5225
RsqrteLUT[5066] = 16'hadb8;
5226
RsqrteLUT[5067] = 16'hadb1;
5227
RsqrteLUT[5068] = 16'hadab;
5228
RsqrteLUT[5069] = 16'hada5;
5229
RsqrteLUT[5070] = 16'had9f;
5230
RsqrteLUT[5071] = 16'had99;
5231
RsqrteLUT[5072] = 16'had93;
5232
RsqrteLUT[5073] = 16'had8e;
5233
RsqrteLUT[5074] = 16'had88;
5234
RsqrteLUT[5075] = 16'had83;
5235
RsqrteLUT[5076] = 16'had7d;
5236
RsqrteLUT[5077] = 16'had78;
5237
RsqrteLUT[5078] = 16'had73;
5238
RsqrteLUT[5079] = 16'had6e;
5239
RsqrteLUT[5080] = 16'had69;
5240
RsqrteLUT[5081] = 16'had64;
5241
RsqrteLUT[5082] = 16'had5f;
5242
RsqrteLUT[5083] = 16'had5a;
5243
RsqrteLUT[5084] = 16'had56;
5244
RsqrteLUT[5085] = 16'had51;
5245
RsqrteLUT[5086] = 16'had4c;
5246
RsqrteLUT[5087] = 16'had48;
5247
RsqrteLUT[5088] = 16'had44;
5248
RsqrteLUT[5089] = 16'had3f;
5249
RsqrteLUT[5090] = 16'had3b;
5250
RsqrteLUT[5091] = 16'had37;
5251
RsqrteLUT[5092] = 16'had33;
5252
RsqrteLUT[5093] = 16'had2f;
5253
RsqrteLUT[5094] = 16'had2b;
5254
RsqrteLUT[5095] = 16'had27;
5255
RsqrteLUT[5096] = 16'had23;
5256
RsqrteLUT[5097] = 16'had1f;
5257
RsqrteLUT[5098] = 16'had1b;
5258
RsqrteLUT[5099] = 16'had17;
5259
RsqrteLUT[5100] = 16'had14;
5260
RsqrteLUT[5101] = 16'had10;
5261
RsqrteLUT[5102] = 16'had0d;
5262
RsqrteLUT[5103] = 16'had09;
5263
RsqrteLUT[5104] = 16'had06;
5264
RsqrteLUT[5105] = 16'had02;
5265
RsqrteLUT[5106] = 16'hacff;
5266
RsqrteLUT[5107] = 16'hacfb;
5267
RsqrteLUT[5108] = 16'hacf8;
5268
RsqrteLUT[5109] = 16'hacf5;
5269
RsqrteLUT[5110] = 16'hacf2;
5270
RsqrteLUT[5111] = 16'hacee;
5271
RsqrteLUT[5112] = 16'haceb;
5272
RsqrteLUT[5113] = 16'hace8;
5273
RsqrteLUT[5114] = 16'hace5;
5274
RsqrteLUT[5115] = 16'hace2;
5275
RsqrteLUT[5116] = 16'hacdf;
5276
RsqrteLUT[5117] = 16'hacdc;
5277
RsqrteLUT[5118] = 16'hacd9;
5278
RsqrteLUT[5119] = 16'hacd6;
5279
RsqrteLUT[5120] = 16'hacd4;
5280
RsqrteLUT[5121] = 16'hacce;
5281
RsqrteLUT[5122] = 16'hacc9;
5282
RsqrteLUT[5123] = 16'hacc3;
5283
RsqrteLUT[5124] = 16'hacbe;
5284
RsqrteLUT[5125] = 16'hacb9;
5285
RsqrteLUT[5126] = 16'hacb4;
5286
RsqrteLUT[5127] = 16'hacaf;
5287
RsqrteLUT[5128] = 16'hacaa;
5288
RsqrteLUT[5129] = 16'haca5;
5289
RsqrteLUT[5130] = 16'haca1;
5290
RsqrteLUT[5131] = 16'hac9c;
5291
RsqrteLUT[5132] = 16'hac98;
5292
RsqrteLUT[5133] = 16'hac94;
5293
RsqrteLUT[5134] = 16'hac8f;
5294
RsqrteLUT[5135] = 16'hac8b;
5295
RsqrteLUT[5136] = 16'hac87;
5296
RsqrteLUT[5137] = 16'hac83;
5297
RsqrteLUT[5138] = 16'hac7f;
5298
RsqrteLUT[5139] = 16'hac7b;
5299
RsqrteLUT[5140] = 16'hac78;
5300
RsqrteLUT[5141] = 16'hac74;
5301
RsqrteLUT[5142] = 16'hac70;
5302
RsqrteLUT[5143] = 16'hac6d;
5303
RsqrteLUT[5144] = 16'hac69;
5304
RsqrteLUT[5145] = 16'hac66;
5305
RsqrteLUT[5146] = 16'hac62;
5306
RsqrteLUT[5147] = 16'hac5f;
5307
RsqrteLUT[5148] = 16'hac5b;
5308
RsqrteLUT[5149] = 16'hac58;
5309
RsqrteLUT[5150] = 16'hac55;
5310
RsqrteLUT[5151] = 16'hac52;
5311
RsqrteLUT[5152] = 16'hac4f;
5312
RsqrteLUT[5153] = 16'hac4c;
5313
RsqrteLUT[5154] = 16'hac49;
5314
RsqrteLUT[5155] = 16'hac46;
5315
RsqrteLUT[5156] = 16'hac43;
5316
RsqrteLUT[5157] = 16'hac40;
5317
RsqrteLUT[5158] = 16'hac3d;
5318
RsqrteLUT[5159] = 16'hac3a;
5319
RsqrteLUT[5160] = 16'hac38;
5320
RsqrteLUT[5161] = 16'hac35;
5321
RsqrteLUT[5162] = 16'hac32;
5322
RsqrteLUT[5163] = 16'hac2f;
5323
RsqrteLUT[5164] = 16'hac2d;
5324
RsqrteLUT[5165] = 16'hac2a;
5325
RsqrteLUT[5166] = 16'hac28;
5326
RsqrteLUT[5167] = 16'hac25;
5327
RsqrteLUT[5168] = 16'hac23;
5328
RsqrteLUT[5169] = 16'hac20;
5329
RsqrteLUT[5170] = 16'hac1e;
5330
RsqrteLUT[5171] = 16'hac1c;
5331
RsqrteLUT[5172] = 16'hac19;
5332
RsqrteLUT[5173] = 16'hac17;
5333
RsqrteLUT[5174] = 16'hac15;
5334
RsqrteLUT[5175] = 16'hac13;
5335
RsqrteLUT[5176] = 16'hac10;
5336
RsqrteLUT[5177] = 16'hac0e;
5337
RsqrteLUT[5178] = 16'hac0c;
5338
RsqrteLUT[5179] = 16'hac0a;
5339
RsqrteLUT[5180] = 16'hac08;
5340
RsqrteLUT[5181] = 16'hac06;
5341
RsqrteLUT[5182] = 16'hac04;
5342
RsqrteLUT[5183] = 16'hac02;
5343
RsqrteLUT[5184] = 16'hac00;
5344
RsqrteLUT[5185] = 16'habf8;
5345
RsqrteLUT[5186] = 16'habf0;
5346
RsqrteLUT[5187] = 16'habe8;
5347
RsqrteLUT[5188] = 16'habe1;
5348
RsqrteLUT[5189] = 16'habda;
5349
RsqrteLUT[5190] = 16'habd3;
5350
RsqrteLUT[5191] = 16'habcc;
5351
RsqrteLUT[5192] = 16'habc5;
5352
RsqrteLUT[5193] = 16'habbe;
5353
RsqrteLUT[5194] = 16'habb8;
5354
RsqrteLUT[5195] = 16'habb1;
5355
RsqrteLUT[5196] = 16'habab;
5356
RsqrteLUT[5197] = 16'haba5;
5357
RsqrteLUT[5198] = 16'hab9f;
5358
RsqrteLUT[5199] = 16'hab99;
5359
RsqrteLUT[5200] = 16'hab93;
5360
RsqrteLUT[5201] = 16'hab8e;
5361
RsqrteLUT[5202] = 16'hab88;
5362
RsqrteLUT[5203] = 16'hab83;
5363
RsqrteLUT[5204] = 16'hab7d;
5364
RsqrteLUT[5205] = 16'hab78;
5365
RsqrteLUT[5206] = 16'hab73;
5366
RsqrteLUT[5207] = 16'hab6e;
5367
RsqrteLUT[5208] = 16'hab69;
5368
RsqrteLUT[5209] = 16'hab64;
5369
RsqrteLUT[5210] = 16'hab5f;
5370
RsqrteLUT[5211] = 16'hab5a;
5371
RsqrteLUT[5212] = 16'hab56;
5372
RsqrteLUT[5213] = 16'hab51;
5373
RsqrteLUT[5214] = 16'hab4c;
5374
RsqrteLUT[5215] = 16'hab48;
5375
RsqrteLUT[5216] = 16'hab44;
5376
RsqrteLUT[5217] = 16'hab3f;
5377
RsqrteLUT[5218] = 16'hab3b;
5378
RsqrteLUT[5219] = 16'hab37;
5379
RsqrteLUT[5220] = 16'hab33;
5380
RsqrteLUT[5221] = 16'hab2f;
5381
RsqrteLUT[5222] = 16'hab2b;
5382
RsqrteLUT[5223] = 16'hab27;
5383
RsqrteLUT[5224] = 16'hab23;
5384
RsqrteLUT[5225] = 16'hab1f;
5385
RsqrteLUT[5226] = 16'hab1b;
5386
RsqrteLUT[5227] = 16'hab17;
5387
RsqrteLUT[5228] = 16'hab14;
5388
RsqrteLUT[5229] = 16'hab10;
5389
RsqrteLUT[5230] = 16'hab0d;
5390
RsqrteLUT[5231] = 16'hab09;
5391
RsqrteLUT[5232] = 16'hab06;
5392
RsqrteLUT[5233] = 16'hab02;
5393
RsqrteLUT[5234] = 16'haaff;
5394
RsqrteLUT[5235] = 16'haafb;
5395
RsqrteLUT[5236] = 16'haaf8;
5396
RsqrteLUT[5237] = 16'haaf5;
5397
RsqrteLUT[5238] = 16'haaf2;
5398
RsqrteLUT[5239] = 16'haaee;
5399
RsqrteLUT[5240] = 16'haaeb;
5400
RsqrteLUT[5241] = 16'haae8;
5401
RsqrteLUT[5242] = 16'haae5;
5402
RsqrteLUT[5243] = 16'haae2;
5403
RsqrteLUT[5244] = 16'haadf;
5404
RsqrteLUT[5245] = 16'haadc;
5405
RsqrteLUT[5246] = 16'haad9;
5406
RsqrteLUT[5247] = 16'haad6;
5407
RsqrteLUT[5248] = 16'haad4;
5408
RsqrteLUT[5249] = 16'haace;
5409
RsqrteLUT[5250] = 16'haac9;
5410
RsqrteLUT[5251] = 16'haac3;
5411
RsqrteLUT[5252] = 16'haabe;
5412
RsqrteLUT[5253] = 16'haab9;
5413
RsqrteLUT[5254] = 16'haab4;
5414
RsqrteLUT[5255] = 16'haaaf;
5415
RsqrteLUT[5256] = 16'haaaa;
5416
RsqrteLUT[5257] = 16'haaa5;
5417
RsqrteLUT[5258] = 16'haaa1;
5418
RsqrteLUT[5259] = 16'haa9c;
5419
RsqrteLUT[5260] = 16'haa98;
5420
RsqrteLUT[5261] = 16'haa94;
5421
RsqrteLUT[5262] = 16'haa8f;
5422
RsqrteLUT[5263] = 16'haa8b;
5423
RsqrteLUT[5264] = 16'haa87;
5424
RsqrteLUT[5265] = 16'haa83;
5425
RsqrteLUT[5266] = 16'haa7f;
5426
RsqrteLUT[5267] = 16'haa7b;
5427
RsqrteLUT[5268] = 16'haa78;
5428
RsqrteLUT[5269] = 16'haa74;
5429
RsqrteLUT[5270] = 16'haa70;
5430
RsqrteLUT[5271] = 16'haa6d;
5431
RsqrteLUT[5272] = 16'haa69;
5432
RsqrteLUT[5273] = 16'haa66;
5433
RsqrteLUT[5274] = 16'haa62;
5434
RsqrteLUT[5275] = 16'haa5f;
5435
RsqrteLUT[5276] = 16'haa5b;
5436
RsqrteLUT[5277] = 16'haa58;
5437
RsqrteLUT[5278] = 16'haa55;
5438
RsqrteLUT[5279] = 16'haa52;
5439
RsqrteLUT[5280] = 16'haa4f;
5440
RsqrteLUT[5281] = 16'haa4c;
5441
RsqrteLUT[5282] = 16'haa49;
5442
RsqrteLUT[5283] = 16'haa46;
5443
RsqrteLUT[5284] = 16'haa43;
5444
RsqrteLUT[5285] = 16'haa40;
5445
RsqrteLUT[5286] = 16'haa3d;
5446
RsqrteLUT[5287] = 16'haa3a;
5447
RsqrteLUT[5288] = 16'haa38;
5448
RsqrteLUT[5289] = 16'haa35;
5449
RsqrteLUT[5290] = 16'haa32;
5450
RsqrteLUT[5291] = 16'haa2f;
5451
RsqrteLUT[5292] = 16'haa2d;
5452
RsqrteLUT[5293] = 16'haa2a;
5453
RsqrteLUT[5294] = 16'haa28;
5454
RsqrteLUT[5295] = 16'haa25;
5455
RsqrteLUT[5296] = 16'haa23;
5456
RsqrteLUT[5297] = 16'haa20;
5457
RsqrteLUT[5298] = 16'haa1e;
5458
RsqrteLUT[5299] = 16'haa1c;
5459
RsqrteLUT[5300] = 16'haa19;
5460
RsqrteLUT[5301] = 16'haa17;
5461
RsqrteLUT[5302] = 16'haa15;
5462
RsqrteLUT[5303] = 16'haa13;
5463
RsqrteLUT[5304] = 16'haa10;
5464
RsqrteLUT[5305] = 16'haa0e;
5465
RsqrteLUT[5306] = 16'haa0c;
5466
RsqrteLUT[5307] = 16'haa0a;
5467
RsqrteLUT[5308] = 16'haa08;
5468
RsqrteLUT[5309] = 16'haa06;
5469
RsqrteLUT[5310] = 16'haa04;
5470
RsqrteLUT[5311] = 16'haa02;
5471
RsqrteLUT[5312] = 16'haa00;
5472
RsqrteLUT[5313] = 16'ha9f8;
5473
RsqrteLUT[5314] = 16'ha9f0;
5474
RsqrteLUT[5315] = 16'ha9e8;
5475
RsqrteLUT[5316] = 16'ha9e1;
5476
RsqrteLUT[5317] = 16'ha9da;
5477
RsqrteLUT[5318] = 16'ha9d3;
5478
RsqrteLUT[5319] = 16'ha9cc;
5479
RsqrteLUT[5320] = 16'ha9c5;
5480
RsqrteLUT[5321] = 16'ha9be;
5481
RsqrteLUT[5322] = 16'ha9b8;
5482
RsqrteLUT[5323] = 16'ha9b1;
5483
RsqrteLUT[5324] = 16'ha9ab;
5484
RsqrteLUT[5325] = 16'ha9a5;
5485
RsqrteLUT[5326] = 16'ha99f;
5486
RsqrteLUT[5327] = 16'ha999;
5487
RsqrteLUT[5328] = 16'ha993;
5488
RsqrteLUT[5329] = 16'ha98e;
5489
RsqrteLUT[5330] = 16'ha988;
5490
RsqrteLUT[5331] = 16'ha983;
5491
RsqrteLUT[5332] = 16'ha97d;
5492
RsqrteLUT[5333] = 16'ha978;
5493
RsqrteLUT[5334] = 16'ha973;
5494
RsqrteLUT[5335] = 16'ha96e;
5495
RsqrteLUT[5336] = 16'ha969;
5496
RsqrteLUT[5337] = 16'ha964;
5497
RsqrteLUT[5338] = 16'ha95f;
5498
RsqrteLUT[5339] = 16'ha95a;
5499
RsqrteLUT[5340] = 16'ha956;
5500
RsqrteLUT[5341] = 16'ha951;
5501
RsqrteLUT[5342] = 16'ha94c;
5502
RsqrteLUT[5343] = 16'ha948;
5503
RsqrteLUT[5344] = 16'ha944;
5504
RsqrteLUT[5345] = 16'ha93f;
5505
RsqrteLUT[5346] = 16'ha93b;
5506
RsqrteLUT[5347] = 16'ha937;
5507
RsqrteLUT[5348] = 16'ha933;
5508
RsqrteLUT[5349] = 16'ha92f;
5509
RsqrteLUT[5350] = 16'ha92b;
5510
RsqrteLUT[5351] = 16'ha927;
5511
RsqrteLUT[5352] = 16'ha923;
5512
RsqrteLUT[5353] = 16'ha91f;
5513
RsqrteLUT[5354] = 16'ha91b;
5514
RsqrteLUT[5355] = 16'ha917;
5515
RsqrteLUT[5356] = 16'ha914;
5516
RsqrteLUT[5357] = 16'ha910;
5517
RsqrteLUT[5358] = 16'ha90d;
5518
RsqrteLUT[5359] = 16'ha909;
5519
RsqrteLUT[5360] = 16'ha906;
5520
RsqrteLUT[5361] = 16'ha902;
5521
RsqrteLUT[5362] = 16'ha8ff;
5522
RsqrteLUT[5363] = 16'ha8fb;
5523
RsqrteLUT[5364] = 16'ha8f8;
5524
RsqrteLUT[5365] = 16'ha8f5;
5525
RsqrteLUT[5366] = 16'ha8f2;
5526
RsqrteLUT[5367] = 16'ha8ee;
5527
RsqrteLUT[5368] = 16'ha8eb;
5528
RsqrteLUT[5369] = 16'ha8e8;
5529
RsqrteLUT[5370] = 16'ha8e5;
5530
RsqrteLUT[5371] = 16'ha8e2;
5531
RsqrteLUT[5372] = 16'ha8df;
5532
RsqrteLUT[5373] = 16'ha8dc;
5533
RsqrteLUT[5374] = 16'ha8d9;
5534
RsqrteLUT[5375] = 16'ha8d6;
5535
RsqrteLUT[5376] = 16'ha8d4;
5536
RsqrteLUT[5377] = 16'ha8ce;
5537
RsqrteLUT[5378] = 16'ha8c9;
5538
RsqrteLUT[5379] = 16'ha8c3;
5539
RsqrteLUT[5380] = 16'ha8be;
5540
RsqrteLUT[5381] = 16'ha8b9;
5541
RsqrteLUT[5382] = 16'ha8b4;
5542
RsqrteLUT[5383] = 16'ha8af;
5543
RsqrteLUT[5384] = 16'ha8aa;
5544
RsqrteLUT[5385] = 16'ha8a5;
5545
RsqrteLUT[5386] = 16'ha8a1;
5546
RsqrteLUT[5387] = 16'ha89c;
5547
RsqrteLUT[5388] = 16'ha898;
5548
RsqrteLUT[5389] = 16'ha894;
5549
RsqrteLUT[5390] = 16'ha88f;
5550
RsqrteLUT[5391] = 16'ha88b;
5551
RsqrteLUT[5392] = 16'ha887;
5552
RsqrteLUT[5393] = 16'ha883;
5553
RsqrteLUT[5394] = 16'ha87f;
5554
RsqrteLUT[5395] = 16'ha87b;
5555
RsqrteLUT[5396] = 16'ha878;
5556
RsqrteLUT[5397] = 16'ha874;
5557
RsqrteLUT[5398] = 16'ha870;
5558
RsqrteLUT[5399] = 16'ha86d;
5559
RsqrteLUT[5400] = 16'ha869;
5560
RsqrteLUT[5401] = 16'ha866;
5561
RsqrteLUT[5402] = 16'ha862;
5562
RsqrteLUT[5403] = 16'ha85f;
5563
RsqrteLUT[5404] = 16'ha85b;
5564
RsqrteLUT[5405] = 16'ha858;
5565
RsqrteLUT[5406] = 16'ha855;
5566
RsqrteLUT[5407] = 16'ha852;
5567
RsqrteLUT[5408] = 16'ha84f;
5568
RsqrteLUT[5409] = 16'ha84c;
5569
RsqrteLUT[5410] = 16'ha849;
5570
RsqrteLUT[5411] = 16'ha846;
5571
RsqrteLUT[5412] = 16'ha843;
5572
RsqrteLUT[5413] = 16'ha840;
5573
RsqrteLUT[5414] = 16'ha83d;
5574
RsqrteLUT[5415] = 16'ha83a;
5575
RsqrteLUT[5416] = 16'ha838;
5576
RsqrteLUT[5417] = 16'ha835;
5577
RsqrteLUT[5418] = 16'ha832;
5578
RsqrteLUT[5419] = 16'ha82f;
5579
RsqrteLUT[5420] = 16'ha82d;
5580
RsqrteLUT[5421] = 16'ha82a;
5581
RsqrteLUT[5422] = 16'ha828;
5582
RsqrteLUT[5423] = 16'ha825;
5583
RsqrteLUT[5424] = 16'ha823;
5584
RsqrteLUT[5425] = 16'ha820;
5585
RsqrteLUT[5426] = 16'ha81e;
5586
RsqrteLUT[5427] = 16'ha81c;
5587
RsqrteLUT[5428] = 16'ha819;
5588
RsqrteLUT[5429] = 16'ha817;
5589
RsqrteLUT[5430] = 16'ha815;
5590
RsqrteLUT[5431] = 16'ha813;
5591
RsqrteLUT[5432] = 16'ha810;
5592
RsqrteLUT[5433] = 16'ha80e;
5593
RsqrteLUT[5434] = 16'ha80c;
5594
RsqrteLUT[5435] = 16'ha80a;
5595
RsqrteLUT[5436] = 16'ha808;
5596
RsqrteLUT[5437] = 16'ha806;
5597
RsqrteLUT[5438] = 16'ha804;
5598
RsqrteLUT[5439] = 16'ha802;
5599
RsqrteLUT[5440] = 16'ha800;
5600
RsqrteLUT[5441] = 16'ha7f8;
5601
RsqrteLUT[5442] = 16'ha7f0;
5602
RsqrteLUT[5443] = 16'ha7e8;
5603
RsqrteLUT[5444] = 16'ha7e1;
5604
RsqrteLUT[5445] = 16'ha7da;
5605
RsqrteLUT[5446] = 16'ha7d3;
5606
RsqrteLUT[5447] = 16'ha7cc;
5607
RsqrteLUT[5448] = 16'ha7c5;
5608
RsqrteLUT[5449] = 16'ha7be;
5609
RsqrteLUT[5450] = 16'ha7b8;
5610
RsqrteLUT[5451] = 16'ha7b1;
5611
RsqrteLUT[5452] = 16'ha7ab;
5612
RsqrteLUT[5453] = 16'ha7a5;
5613
RsqrteLUT[5454] = 16'ha79f;
5614
RsqrteLUT[5455] = 16'ha799;
5615
RsqrteLUT[5456] = 16'ha793;
5616
RsqrteLUT[5457] = 16'ha78e;
5617
RsqrteLUT[5458] = 16'ha788;
5618
RsqrteLUT[5459] = 16'ha783;
5619
RsqrteLUT[5460] = 16'ha77d;
5620
RsqrteLUT[5461] = 16'ha778;
5621
RsqrteLUT[5462] = 16'ha773;
5622
RsqrteLUT[5463] = 16'ha76e;
5623
RsqrteLUT[5464] = 16'ha769;
5624
RsqrteLUT[5465] = 16'ha764;
5625
RsqrteLUT[5466] = 16'ha75f;
5626
RsqrteLUT[5467] = 16'ha75a;
5627
RsqrteLUT[5468] = 16'ha756;
5628
RsqrteLUT[5469] = 16'ha751;
5629
RsqrteLUT[5470] = 16'ha74c;
5630
RsqrteLUT[5471] = 16'ha748;
5631
RsqrteLUT[5472] = 16'ha744;
5632
RsqrteLUT[5473] = 16'ha73f;
5633
RsqrteLUT[5474] = 16'ha73b;
5634
RsqrteLUT[5475] = 16'ha737;
5635
RsqrteLUT[5476] = 16'ha733;
5636
RsqrteLUT[5477] = 16'ha72f;
5637
RsqrteLUT[5478] = 16'ha72b;
5638
RsqrteLUT[5479] = 16'ha727;
5639
RsqrteLUT[5480] = 16'ha723;
5640
RsqrteLUT[5481] = 16'ha71f;
5641
RsqrteLUT[5482] = 16'ha71b;
5642
RsqrteLUT[5483] = 16'ha717;
5643
RsqrteLUT[5484] = 16'ha714;
5644
RsqrteLUT[5485] = 16'ha710;
5645
RsqrteLUT[5486] = 16'ha70d;
5646
RsqrteLUT[5487] = 16'ha709;
5647
RsqrteLUT[5488] = 16'ha706;
5648
RsqrteLUT[5489] = 16'ha702;
5649
RsqrteLUT[5490] = 16'ha6ff;
5650
RsqrteLUT[5491] = 16'ha6fb;
5651
RsqrteLUT[5492] = 16'ha6f8;
5652
RsqrteLUT[5493] = 16'ha6f5;
5653
RsqrteLUT[5494] = 16'ha6f2;
5654
RsqrteLUT[5495] = 16'ha6ee;
5655
RsqrteLUT[5496] = 16'ha6eb;
5656
RsqrteLUT[5497] = 16'ha6e8;
5657
RsqrteLUT[5498] = 16'ha6e5;
5658
RsqrteLUT[5499] = 16'ha6e2;
5659
RsqrteLUT[5500] = 16'ha6df;
5660
RsqrteLUT[5501] = 16'ha6dc;
5661
RsqrteLUT[5502] = 16'ha6d9;
5662
RsqrteLUT[5503] = 16'ha6d6;
5663
RsqrteLUT[5504] = 16'ha6d4;
5664
RsqrteLUT[5505] = 16'ha6ce;
5665
RsqrteLUT[5506] = 16'ha6c9;
5666
RsqrteLUT[5507] = 16'ha6c3;
5667
RsqrteLUT[5508] = 16'ha6be;
5668
RsqrteLUT[5509] = 16'ha6b9;
5669
RsqrteLUT[5510] = 16'ha6b4;
5670
RsqrteLUT[5511] = 16'ha6af;
5671
RsqrteLUT[5512] = 16'ha6aa;
5672
RsqrteLUT[5513] = 16'ha6a5;
5673
RsqrteLUT[5514] = 16'ha6a1;
5674
RsqrteLUT[5515] = 16'ha69c;
5675
RsqrteLUT[5516] = 16'ha698;
5676
RsqrteLUT[5517] = 16'ha694;
5677
RsqrteLUT[5518] = 16'ha68f;
5678
RsqrteLUT[5519] = 16'ha68b;
5679
RsqrteLUT[5520] = 16'ha687;
5680
RsqrteLUT[5521] = 16'ha683;
5681
RsqrteLUT[5522] = 16'ha67f;
5682
RsqrteLUT[5523] = 16'ha67b;
5683
RsqrteLUT[5524] = 16'ha678;
5684
RsqrteLUT[5525] = 16'ha674;
5685
RsqrteLUT[5526] = 16'ha670;
5686
RsqrteLUT[5527] = 16'ha66d;
5687
RsqrteLUT[5528] = 16'ha669;
5688
RsqrteLUT[5529] = 16'ha666;
5689
RsqrteLUT[5530] = 16'ha662;
5690
RsqrteLUT[5531] = 16'ha65f;
5691
RsqrteLUT[5532] = 16'ha65b;
5692
RsqrteLUT[5533] = 16'ha658;
5693
RsqrteLUT[5534] = 16'ha655;
5694
RsqrteLUT[5535] = 16'ha652;
5695
RsqrteLUT[5536] = 16'ha64f;
5696
RsqrteLUT[5537] = 16'ha64c;
5697
RsqrteLUT[5538] = 16'ha649;
5698
RsqrteLUT[5539] = 16'ha646;
5699
RsqrteLUT[5540] = 16'ha643;
5700
RsqrteLUT[5541] = 16'ha640;
5701
RsqrteLUT[5542] = 16'ha63d;
5702
RsqrteLUT[5543] = 16'ha63a;
5703
RsqrteLUT[5544] = 16'ha638;
5704
RsqrteLUT[5545] = 16'ha635;
5705
RsqrteLUT[5546] = 16'ha632;
5706
RsqrteLUT[5547] = 16'ha62f;
5707
RsqrteLUT[5548] = 16'ha62d;
5708
RsqrteLUT[5549] = 16'ha62a;
5709
RsqrteLUT[5550] = 16'ha628;
5710
RsqrteLUT[5551] = 16'ha625;
5711
RsqrteLUT[5552] = 16'ha623;
5712
RsqrteLUT[5553] = 16'ha620;
5713
RsqrteLUT[5554] = 16'ha61e;
5714
RsqrteLUT[5555] = 16'ha61c;
5715
RsqrteLUT[5556] = 16'ha619;
5716
RsqrteLUT[5557] = 16'ha617;
5717
RsqrteLUT[5558] = 16'ha615;
5718
RsqrteLUT[5559] = 16'ha613;
5719
RsqrteLUT[5560] = 16'ha610;
5720
RsqrteLUT[5561] = 16'ha60e;
5721
RsqrteLUT[5562] = 16'ha60c;
5722
RsqrteLUT[5563] = 16'ha60a;
5723
RsqrteLUT[5564] = 16'ha608;
5724
RsqrteLUT[5565] = 16'ha606;
5725
RsqrteLUT[5566] = 16'ha604;
5726
RsqrteLUT[5567] = 16'ha602;
5727
RsqrteLUT[5568] = 16'ha600;
5728
RsqrteLUT[5569] = 16'ha5f8;
5729
RsqrteLUT[5570] = 16'ha5f0;
5730
RsqrteLUT[5571] = 16'ha5e8;
5731
RsqrteLUT[5572] = 16'ha5e1;
5732
RsqrteLUT[5573] = 16'ha5da;
5733
RsqrteLUT[5574] = 16'ha5d3;
5734
RsqrteLUT[5575] = 16'ha5cc;
5735
RsqrteLUT[5576] = 16'ha5c5;
5736
RsqrteLUT[5577] = 16'ha5be;
5737
RsqrteLUT[5578] = 16'ha5b8;
5738
RsqrteLUT[5579] = 16'ha5b1;
5739
RsqrteLUT[5580] = 16'ha5ab;
5740
RsqrteLUT[5581] = 16'ha5a5;
5741
RsqrteLUT[5582] = 16'ha59f;
5742
RsqrteLUT[5583] = 16'ha599;
5743
RsqrteLUT[5584] = 16'ha593;
5744
RsqrteLUT[5585] = 16'ha58e;
5745
RsqrteLUT[5586] = 16'ha588;
5746
RsqrteLUT[5587] = 16'ha583;
5747
RsqrteLUT[5588] = 16'ha57d;
5748
RsqrteLUT[5589] = 16'ha578;
5749
RsqrteLUT[5590] = 16'ha573;
5750
RsqrteLUT[5591] = 16'ha56e;
5751
RsqrteLUT[5592] = 16'ha569;
5752
RsqrteLUT[5593] = 16'ha564;
5753
RsqrteLUT[5594] = 16'ha55f;
5754
RsqrteLUT[5595] = 16'ha55a;
5755
RsqrteLUT[5596] = 16'ha556;
5756
RsqrteLUT[5597] = 16'ha551;
5757
RsqrteLUT[5598] = 16'ha54c;
5758
RsqrteLUT[5599] = 16'ha548;
5759
RsqrteLUT[5600] = 16'ha544;
5760
RsqrteLUT[5601] = 16'ha53f;
5761
RsqrteLUT[5602] = 16'ha53b;
5762
RsqrteLUT[5603] = 16'ha537;
5763
RsqrteLUT[5604] = 16'ha533;
5764
RsqrteLUT[5605] = 16'ha52f;
5765
RsqrteLUT[5606] = 16'ha52b;
5766
RsqrteLUT[5607] = 16'ha527;
5767
RsqrteLUT[5608] = 16'ha523;
5768
RsqrteLUT[5609] = 16'ha51f;
5769
RsqrteLUT[5610] = 16'ha51b;
5770
RsqrteLUT[5611] = 16'ha517;
5771
RsqrteLUT[5612] = 16'ha514;
5772
RsqrteLUT[5613] = 16'ha510;
5773
RsqrteLUT[5614] = 16'ha50d;
5774
RsqrteLUT[5615] = 16'ha509;
5775
RsqrteLUT[5616] = 16'ha506;
5776
RsqrteLUT[5617] = 16'ha502;
5777
RsqrteLUT[5618] = 16'ha4ff;
5778
RsqrteLUT[5619] = 16'ha4fb;
5779
RsqrteLUT[5620] = 16'ha4f8;
5780
RsqrteLUT[5621] = 16'ha4f5;
5781
RsqrteLUT[5622] = 16'ha4f2;
5782
RsqrteLUT[5623] = 16'ha4ee;
5783
RsqrteLUT[5624] = 16'ha4eb;
5784
RsqrteLUT[5625] = 16'ha4e8;
5785
RsqrteLUT[5626] = 16'ha4e5;
5786
RsqrteLUT[5627] = 16'ha4e2;
5787
RsqrteLUT[5628] = 16'ha4df;
5788
RsqrteLUT[5629] = 16'ha4dc;
5789
RsqrteLUT[5630] = 16'ha4d9;
5790
RsqrteLUT[5631] = 16'ha4d6;
5791
RsqrteLUT[5632] = 16'ha4d4;
5792
RsqrteLUT[5633] = 16'ha4ce;
5793
RsqrteLUT[5634] = 16'ha4c9;
5794
RsqrteLUT[5635] = 16'ha4c3;
5795
RsqrteLUT[5636] = 16'ha4be;
5796
RsqrteLUT[5637] = 16'ha4b9;
5797
RsqrteLUT[5638] = 16'ha4b4;
5798
RsqrteLUT[5639] = 16'ha4af;
5799
RsqrteLUT[5640] = 16'ha4aa;
5800
RsqrteLUT[5641] = 16'ha4a5;
5801
RsqrteLUT[5642] = 16'ha4a1;
5802
RsqrteLUT[5643] = 16'ha49c;
5803
RsqrteLUT[5644] = 16'ha498;
5804
RsqrteLUT[5645] = 16'ha494;
5805
RsqrteLUT[5646] = 16'ha48f;
5806
RsqrteLUT[5647] = 16'ha48b;
5807
RsqrteLUT[5648] = 16'ha487;
5808
RsqrteLUT[5649] = 16'ha483;
5809
RsqrteLUT[5650] = 16'ha47f;
5810
RsqrteLUT[5651] = 16'ha47b;
5811
RsqrteLUT[5652] = 16'ha478;
5812
RsqrteLUT[5653] = 16'ha474;
5813
RsqrteLUT[5654] = 16'ha470;
5814
RsqrteLUT[5655] = 16'ha46d;
5815
RsqrteLUT[5656] = 16'ha469;
5816
RsqrteLUT[5657] = 16'ha466;
5817
RsqrteLUT[5658] = 16'ha462;
5818
RsqrteLUT[5659] = 16'ha45f;
5819
RsqrteLUT[5660] = 16'ha45b;
5820
RsqrteLUT[5661] = 16'ha458;
5821
RsqrteLUT[5662] = 16'ha455;
5822
RsqrteLUT[5663] = 16'ha452;
5823
RsqrteLUT[5664] = 16'ha44f;
5824
RsqrteLUT[5665] = 16'ha44c;
5825
RsqrteLUT[5666] = 16'ha449;
5826
RsqrteLUT[5667] = 16'ha446;
5827
RsqrteLUT[5668] = 16'ha443;
5828
RsqrteLUT[5669] = 16'ha440;
5829
RsqrteLUT[5670] = 16'ha43d;
5830
RsqrteLUT[5671] = 16'ha43a;
5831
RsqrteLUT[5672] = 16'ha438;
5832
RsqrteLUT[5673] = 16'ha435;
5833
RsqrteLUT[5674] = 16'ha432;
5834
RsqrteLUT[5675] = 16'ha42f;
5835
RsqrteLUT[5676] = 16'ha42d;
5836
RsqrteLUT[5677] = 16'ha42a;
5837
RsqrteLUT[5678] = 16'ha428;
5838
RsqrteLUT[5679] = 16'ha425;
5839
RsqrteLUT[5680] = 16'ha423;
5840
RsqrteLUT[5681] = 16'ha420;
5841
RsqrteLUT[5682] = 16'ha41e;
5842
RsqrteLUT[5683] = 16'ha41c;
5843
RsqrteLUT[5684] = 16'ha419;
5844
RsqrteLUT[5685] = 16'ha417;
5845
RsqrteLUT[5686] = 16'ha415;
5846
RsqrteLUT[5687] = 16'ha413;
5847
RsqrteLUT[5688] = 16'ha410;
5848
RsqrteLUT[5689] = 16'ha40e;
5849
RsqrteLUT[5690] = 16'ha40c;
5850
RsqrteLUT[5691] = 16'ha40a;
5851
RsqrteLUT[5692] = 16'ha408;
5852
RsqrteLUT[5693] = 16'ha406;
5853
RsqrteLUT[5694] = 16'ha404;
5854
RsqrteLUT[5695] = 16'ha402;
5855
RsqrteLUT[5696] = 16'ha400;
5856
RsqrteLUT[5697] = 16'ha3f8;
5857
RsqrteLUT[5698] = 16'ha3f0;
5858
RsqrteLUT[5699] = 16'ha3e8;
5859
RsqrteLUT[5700] = 16'ha3e1;
5860
RsqrteLUT[5701] = 16'ha3da;
5861
RsqrteLUT[5702] = 16'ha3d3;
5862
RsqrteLUT[5703] = 16'ha3cc;
5863
RsqrteLUT[5704] = 16'ha3c5;
5864
RsqrteLUT[5705] = 16'ha3be;
5865
RsqrteLUT[5706] = 16'ha3b8;
5866
RsqrteLUT[5707] = 16'ha3b1;
5867
RsqrteLUT[5708] = 16'ha3ab;
5868
RsqrteLUT[5709] = 16'ha3a5;
5869
RsqrteLUT[5710] = 16'ha39f;
5870
RsqrteLUT[5711] = 16'ha399;
5871
RsqrteLUT[5712] = 16'ha393;
5872
RsqrteLUT[5713] = 16'ha38e;
5873
RsqrteLUT[5714] = 16'ha388;
5874
RsqrteLUT[5715] = 16'ha383;
5875
RsqrteLUT[5716] = 16'ha37d;
5876
RsqrteLUT[5717] = 16'ha378;
5877
RsqrteLUT[5718] = 16'ha373;
5878
RsqrteLUT[5719] = 16'ha36e;
5879
RsqrteLUT[5720] = 16'ha369;
5880
RsqrteLUT[5721] = 16'ha364;
5881
RsqrteLUT[5722] = 16'ha35f;
5882
RsqrteLUT[5723] = 16'ha35a;
5883
RsqrteLUT[5724] = 16'ha356;
5884
RsqrteLUT[5725] = 16'ha351;
5885
RsqrteLUT[5726] = 16'ha34c;
5886
RsqrteLUT[5727] = 16'ha348;
5887
RsqrteLUT[5728] = 16'ha344;
5888
RsqrteLUT[5729] = 16'ha33f;
5889
RsqrteLUT[5730] = 16'ha33b;
5890
RsqrteLUT[5731] = 16'ha337;
5891
RsqrteLUT[5732] = 16'ha333;
5892
RsqrteLUT[5733] = 16'ha32f;
5893
RsqrteLUT[5734] = 16'ha32b;
5894
RsqrteLUT[5735] = 16'ha327;
5895
RsqrteLUT[5736] = 16'ha323;
5896
RsqrteLUT[5737] = 16'ha31f;
5897
RsqrteLUT[5738] = 16'ha31b;
5898
RsqrteLUT[5739] = 16'ha317;
5899
RsqrteLUT[5740] = 16'ha314;
5900
RsqrteLUT[5741] = 16'ha310;
5901
RsqrteLUT[5742] = 16'ha30d;
5902
RsqrteLUT[5743] = 16'ha309;
5903
RsqrteLUT[5744] = 16'ha306;
5904
RsqrteLUT[5745] = 16'ha302;
5905
RsqrteLUT[5746] = 16'ha2ff;
5906
RsqrteLUT[5747] = 16'ha2fb;
5907
RsqrteLUT[5748] = 16'ha2f8;
5908
RsqrteLUT[5749] = 16'ha2f5;
5909
RsqrteLUT[5750] = 16'ha2f2;
5910
RsqrteLUT[5751] = 16'ha2ee;
5911
RsqrteLUT[5752] = 16'ha2eb;
5912
RsqrteLUT[5753] = 16'ha2e8;
5913
RsqrteLUT[5754] = 16'ha2e5;
5914
RsqrteLUT[5755] = 16'ha2e2;
5915
RsqrteLUT[5756] = 16'ha2df;
5916
RsqrteLUT[5757] = 16'ha2dc;
5917
RsqrteLUT[5758] = 16'ha2d9;
5918
RsqrteLUT[5759] = 16'ha2d6;
5919
RsqrteLUT[5760] = 16'ha2d4;
5920
RsqrteLUT[5761] = 16'ha2ce;
5921
RsqrteLUT[5762] = 16'ha2c9;
5922
RsqrteLUT[5763] = 16'ha2c3;
5923
RsqrteLUT[5764] = 16'ha2be;
5924
RsqrteLUT[5765] = 16'ha2b9;
5925
RsqrteLUT[5766] = 16'ha2b4;
5926
RsqrteLUT[5767] = 16'ha2af;
5927
RsqrteLUT[5768] = 16'ha2aa;
5928
RsqrteLUT[5769] = 16'ha2a5;
5929
RsqrteLUT[5770] = 16'ha2a1;
5930
RsqrteLUT[5771] = 16'ha29c;
5931
RsqrteLUT[5772] = 16'ha298;
5932
RsqrteLUT[5773] = 16'ha294;
5933
RsqrteLUT[5774] = 16'ha28f;
5934
RsqrteLUT[5775] = 16'ha28b;
5935
RsqrteLUT[5776] = 16'ha287;
5936
RsqrteLUT[5777] = 16'ha283;
5937
RsqrteLUT[5778] = 16'ha27f;
5938
RsqrteLUT[5779] = 16'ha27b;
5939
RsqrteLUT[5780] = 16'ha278;
5940
RsqrteLUT[5781] = 16'ha274;
5941
RsqrteLUT[5782] = 16'ha270;
5942
RsqrteLUT[5783] = 16'ha26d;
5943
RsqrteLUT[5784] = 16'ha269;
5944
RsqrteLUT[5785] = 16'ha266;
5945
RsqrteLUT[5786] = 16'ha262;
5946
RsqrteLUT[5787] = 16'ha25f;
5947
RsqrteLUT[5788] = 16'ha25b;
5948
RsqrteLUT[5789] = 16'ha258;
5949
RsqrteLUT[5790] = 16'ha255;
5950
RsqrteLUT[5791] = 16'ha252;
5951
RsqrteLUT[5792] = 16'ha24f;
5952
RsqrteLUT[5793] = 16'ha24c;
5953
RsqrteLUT[5794] = 16'ha249;
5954
RsqrteLUT[5795] = 16'ha246;
5955
RsqrteLUT[5796] = 16'ha243;
5956
RsqrteLUT[5797] = 16'ha240;
5957
RsqrteLUT[5798] = 16'ha23d;
5958
RsqrteLUT[5799] = 16'ha23a;
5959
RsqrteLUT[5800] = 16'ha238;
5960
RsqrteLUT[5801] = 16'ha235;
5961
RsqrteLUT[5802] = 16'ha232;
5962
RsqrteLUT[5803] = 16'ha22f;
5963
RsqrteLUT[5804] = 16'ha22d;
5964
RsqrteLUT[5805] = 16'ha22a;
5965
RsqrteLUT[5806] = 16'ha228;
5966
RsqrteLUT[5807] = 16'ha225;
5967
RsqrteLUT[5808] = 16'ha223;
5968
RsqrteLUT[5809] = 16'ha220;
5969
RsqrteLUT[5810] = 16'ha21e;
5970
RsqrteLUT[5811] = 16'ha21c;
5971
RsqrteLUT[5812] = 16'ha219;
5972
RsqrteLUT[5813] = 16'ha217;
5973
RsqrteLUT[5814] = 16'ha215;
5974
RsqrteLUT[5815] = 16'ha213;
5975
RsqrteLUT[5816] = 16'ha210;
5976
RsqrteLUT[5817] = 16'ha20e;
5977
RsqrteLUT[5818] = 16'ha20c;
5978
RsqrteLUT[5819] = 16'ha20a;
5979
RsqrteLUT[5820] = 16'ha208;
5980
RsqrteLUT[5821] = 16'ha206;
5981
RsqrteLUT[5822] = 16'ha204;
5982
RsqrteLUT[5823] = 16'ha202;
5983
RsqrteLUT[5824] = 16'ha200;
5984
RsqrteLUT[5825] = 16'ha1f8;
5985
RsqrteLUT[5826] = 16'ha1f0;
5986
RsqrteLUT[5827] = 16'ha1e8;
5987
RsqrteLUT[5828] = 16'ha1e1;
5988
RsqrteLUT[5829] = 16'ha1da;
5989
RsqrteLUT[5830] = 16'ha1d3;
5990
RsqrteLUT[5831] = 16'ha1cc;
5991
RsqrteLUT[5832] = 16'ha1c5;
5992
RsqrteLUT[5833] = 16'ha1be;
5993
RsqrteLUT[5834] = 16'ha1b8;
5994
RsqrteLUT[5835] = 16'ha1b1;
5995
RsqrteLUT[5836] = 16'ha1ab;
5996
RsqrteLUT[5837] = 16'ha1a5;
5997
RsqrteLUT[5838] = 16'ha19f;
5998
RsqrteLUT[5839] = 16'ha199;
5999
RsqrteLUT[5840] = 16'ha193;
6000
RsqrteLUT[5841] = 16'ha18e;
6001
RsqrteLUT[5842] = 16'ha188;
6002
RsqrteLUT[5843] = 16'ha183;
6003
RsqrteLUT[5844] = 16'ha17d;
6004
RsqrteLUT[5845] = 16'ha178;
6005
RsqrteLUT[5846] = 16'ha173;
6006
RsqrteLUT[5847] = 16'ha16e;
6007
RsqrteLUT[5848] = 16'ha169;
6008
RsqrteLUT[5849] = 16'ha164;
6009
RsqrteLUT[5850] = 16'ha15f;
6010
RsqrteLUT[5851] = 16'ha15a;
6011
RsqrteLUT[5852] = 16'ha156;
6012
RsqrteLUT[5853] = 16'ha151;
6013
RsqrteLUT[5854] = 16'ha14c;
6014
RsqrteLUT[5855] = 16'ha148;
6015
RsqrteLUT[5856] = 16'ha144;
6016
RsqrteLUT[5857] = 16'ha13f;
6017
RsqrteLUT[5858] = 16'ha13b;
6018
RsqrteLUT[5859] = 16'ha137;
6019
RsqrteLUT[5860] = 16'ha133;
6020
RsqrteLUT[5861] = 16'ha12f;
6021
RsqrteLUT[5862] = 16'ha12b;
6022
RsqrteLUT[5863] = 16'ha127;
6023
RsqrteLUT[5864] = 16'ha123;
6024
RsqrteLUT[5865] = 16'ha11f;
6025
RsqrteLUT[5866] = 16'ha11b;
6026
RsqrteLUT[5867] = 16'ha117;
6027
RsqrteLUT[5868] = 16'ha114;
6028
RsqrteLUT[5869] = 16'ha110;
6029
RsqrteLUT[5870] = 16'ha10d;
6030
RsqrteLUT[5871] = 16'ha109;
6031
RsqrteLUT[5872] = 16'ha106;
6032
RsqrteLUT[5873] = 16'ha102;
6033
RsqrteLUT[5874] = 16'ha0ff;
6034
RsqrteLUT[5875] = 16'ha0fb;
6035
RsqrteLUT[5876] = 16'ha0f8;
6036
RsqrteLUT[5877] = 16'ha0f5;
6037
RsqrteLUT[5878] = 16'ha0f2;
6038
RsqrteLUT[5879] = 16'ha0ee;
6039
RsqrteLUT[5880] = 16'ha0eb;
6040
RsqrteLUT[5881] = 16'ha0e8;
6041
RsqrteLUT[5882] = 16'ha0e5;
6042
RsqrteLUT[5883] = 16'ha0e2;
6043
RsqrteLUT[5884] = 16'ha0df;
6044
RsqrteLUT[5885] = 16'ha0dc;
6045
RsqrteLUT[5886] = 16'ha0d9;
6046
RsqrteLUT[5887] = 16'ha0d6;
6047
RsqrteLUT[5888] = 16'ha0d4;
6048
RsqrteLUT[5889] = 16'ha0ce;
6049
RsqrteLUT[5890] = 16'ha0c9;
6050
RsqrteLUT[5891] = 16'ha0c3;
6051
RsqrteLUT[5892] = 16'ha0be;
6052
RsqrteLUT[5893] = 16'ha0b9;
6053
RsqrteLUT[5894] = 16'ha0b4;
6054
RsqrteLUT[5895] = 16'ha0af;
6055
RsqrteLUT[5896] = 16'ha0aa;
6056
RsqrteLUT[5897] = 16'ha0a5;
6057
RsqrteLUT[5898] = 16'ha0a1;
6058
RsqrteLUT[5899] = 16'ha09c;
6059
RsqrteLUT[5900] = 16'ha098;
6060
RsqrteLUT[5901] = 16'ha094;
6061
RsqrteLUT[5902] = 16'ha08f;
6062
RsqrteLUT[5903] = 16'ha08b;
6063
RsqrteLUT[5904] = 16'ha087;
6064
RsqrteLUT[5905] = 16'ha083;
6065
RsqrteLUT[5906] = 16'ha07f;
6066
RsqrteLUT[5907] = 16'ha07b;
6067
RsqrteLUT[5908] = 16'ha078;
6068
RsqrteLUT[5909] = 16'ha074;
6069
RsqrteLUT[5910] = 16'ha070;
6070
RsqrteLUT[5911] = 16'ha06d;
6071
RsqrteLUT[5912] = 16'ha069;
6072
RsqrteLUT[5913] = 16'ha066;
6073
RsqrteLUT[5914] = 16'ha062;
6074
RsqrteLUT[5915] = 16'ha05f;
6075
RsqrteLUT[5916] = 16'ha05b;
6076
RsqrteLUT[5917] = 16'ha058;
6077
RsqrteLUT[5918] = 16'ha055;
6078
RsqrteLUT[5919] = 16'ha052;
6079
RsqrteLUT[5920] = 16'ha04f;
6080
RsqrteLUT[5921] = 16'ha04c;
6081
RsqrteLUT[5922] = 16'ha049;
6082
RsqrteLUT[5923] = 16'ha046;
6083
RsqrteLUT[5924] = 16'ha043;
6084
RsqrteLUT[5925] = 16'ha040;
6085
RsqrteLUT[5926] = 16'ha03d;
6086
RsqrteLUT[5927] = 16'ha03a;
6087
RsqrteLUT[5928] = 16'ha038;
6088
RsqrteLUT[5929] = 16'ha035;
6089
RsqrteLUT[5930] = 16'ha032;
6090
RsqrteLUT[5931] = 16'ha02f;
6091
RsqrteLUT[5932] = 16'ha02d;
6092
RsqrteLUT[5933] = 16'ha02a;
6093
RsqrteLUT[5934] = 16'ha028;
6094
RsqrteLUT[5935] = 16'ha025;
6095
RsqrteLUT[5936] = 16'ha023;
6096
RsqrteLUT[5937] = 16'ha020;
6097
RsqrteLUT[5938] = 16'ha01e;
6098
RsqrteLUT[5939] = 16'ha01c;
6099
RsqrteLUT[5940] = 16'ha019;
6100
RsqrteLUT[5941] = 16'ha017;
6101
RsqrteLUT[5942] = 16'ha015;
6102
RsqrteLUT[5943] = 16'ha013;
6103
RsqrteLUT[5944] = 16'ha010;
6104
RsqrteLUT[5945] = 16'ha00e;
6105
RsqrteLUT[5946] = 16'ha00c;
6106
RsqrteLUT[5947] = 16'ha00a;
6107
RsqrteLUT[5948] = 16'ha008;
6108
RsqrteLUT[5949] = 16'ha006;
6109
RsqrteLUT[5950] = 16'ha004;
6110
RsqrteLUT[5951] = 16'ha002;
6111
RsqrteLUT[5952] = 16'ha000;
6112
RsqrteLUT[5953] = 16'h9ff8;
6113
RsqrteLUT[5954] = 16'h9ff0;
6114
RsqrteLUT[5955] = 16'h9fe8;
6115
RsqrteLUT[5956] = 16'h9fe1;
6116
RsqrteLUT[5957] = 16'h9fda;
6117
RsqrteLUT[5958] = 16'h9fd3;
6118
RsqrteLUT[5959] = 16'h9fcc;
6119
RsqrteLUT[5960] = 16'h9fc5;
6120
RsqrteLUT[5961] = 16'h9fbe;
6121
RsqrteLUT[5962] = 16'h9fb8;
6122
RsqrteLUT[5963] = 16'h9fb1;
6123
RsqrteLUT[5964] = 16'h9fab;
6124
RsqrteLUT[5965] = 16'h9fa5;
6125
RsqrteLUT[5966] = 16'h9f9f;
6126
RsqrteLUT[5967] = 16'h9f99;
6127
RsqrteLUT[5968] = 16'h9f93;
6128
RsqrteLUT[5969] = 16'h9f8e;
6129
RsqrteLUT[5970] = 16'h9f88;
6130
RsqrteLUT[5971] = 16'h9f83;
6131
RsqrteLUT[5972] = 16'h9f7d;
6132
RsqrteLUT[5973] = 16'h9f78;
6133
RsqrteLUT[5974] = 16'h9f73;
6134
RsqrteLUT[5975] = 16'h9f6e;
6135
RsqrteLUT[5976] = 16'h9f69;
6136
RsqrteLUT[5977] = 16'h9f64;
6137
RsqrteLUT[5978] = 16'h9f5f;
6138
RsqrteLUT[5979] = 16'h9f5a;
6139
RsqrteLUT[5980] = 16'h9f56;
6140
RsqrteLUT[5981] = 16'h9f51;
6141
RsqrteLUT[5982] = 16'h9f4c;
6142
RsqrteLUT[5983] = 16'h9f48;
6143
RsqrteLUT[5984] = 16'h9f44;
6144
RsqrteLUT[5985] = 16'h9f3f;
6145
RsqrteLUT[5986] = 16'h9f3b;
6146
RsqrteLUT[5987] = 16'h9f37;
6147
RsqrteLUT[5988] = 16'h9f33;
6148
RsqrteLUT[5989] = 16'h9f2f;
6149
RsqrteLUT[5990] = 16'h9f2b;
6150
RsqrteLUT[5991] = 16'h9f27;
6151
RsqrteLUT[5992] = 16'h9f23;
6152
RsqrteLUT[5993] = 16'h9f1f;
6153
RsqrteLUT[5994] = 16'h9f1b;
6154
RsqrteLUT[5995] = 16'h9f17;
6155
RsqrteLUT[5996] = 16'h9f14;
6156
RsqrteLUT[5997] = 16'h9f10;
6157
RsqrteLUT[5998] = 16'h9f0d;
6158
RsqrteLUT[5999] = 16'h9f09;
6159
RsqrteLUT[6000] = 16'h9f06;
6160
RsqrteLUT[6001] = 16'h9f02;
6161
RsqrteLUT[6002] = 16'h9eff;
6162
RsqrteLUT[6003] = 16'h9efb;
6163
RsqrteLUT[6004] = 16'h9ef8;
6164
RsqrteLUT[6005] = 16'h9ef5;
6165
RsqrteLUT[6006] = 16'h9ef2;
6166
RsqrteLUT[6007] = 16'h9eee;
6167
RsqrteLUT[6008] = 16'h9eeb;
6168
RsqrteLUT[6009] = 16'h9ee8;
6169
RsqrteLUT[6010] = 16'h9ee5;
6170
RsqrteLUT[6011] = 16'h9ee2;
6171
RsqrteLUT[6012] = 16'h9edf;
6172
RsqrteLUT[6013] = 16'h9edc;
6173
RsqrteLUT[6014] = 16'h9ed9;
6174
RsqrteLUT[6015] = 16'h9ed6;
6175
RsqrteLUT[6016] = 16'h9ed4;
6176
RsqrteLUT[6017] = 16'h9ece;
6177
RsqrteLUT[6018] = 16'h9ec9;
6178
RsqrteLUT[6019] = 16'h9ec3;
6179
RsqrteLUT[6020] = 16'h9ebe;
6180
RsqrteLUT[6021] = 16'h9eb9;
6181
RsqrteLUT[6022] = 16'h9eb4;
6182
RsqrteLUT[6023] = 16'h9eaf;
6183
RsqrteLUT[6024] = 16'h9eaa;
6184
RsqrteLUT[6025] = 16'h9ea5;
6185
RsqrteLUT[6026] = 16'h9ea1;
6186
RsqrteLUT[6027] = 16'h9e9c;
6187
RsqrteLUT[6028] = 16'h9e98;
6188
RsqrteLUT[6029] = 16'h9e94;
6189
RsqrteLUT[6030] = 16'h9e8f;
6190
RsqrteLUT[6031] = 16'h9e8b;
6191
RsqrteLUT[6032] = 16'h9e87;
6192
RsqrteLUT[6033] = 16'h9e83;
6193
RsqrteLUT[6034] = 16'h9e7f;
6194
RsqrteLUT[6035] = 16'h9e7b;
6195
RsqrteLUT[6036] = 16'h9e78;
6196
RsqrteLUT[6037] = 16'h9e74;
6197
RsqrteLUT[6038] = 16'h9e70;
6198
RsqrteLUT[6039] = 16'h9e6d;
6199
RsqrteLUT[6040] = 16'h9e69;
6200
RsqrteLUT[6041] = 16'h9e66;
6201
RsqrteLUT[6042] = 16'h9e62;
6202
RsqrteLUT[6043] = 16'h9e5f;
6203
RsqrteLUT[6044] = 16'h9e5b;
6204
RsqrteLUT[6045] = 16'h9e58;
6205
RsqrteLUT[6046] = 16'h9e55;
6206
RsqrteLUT[6047] = 16'h9e52;
6207
RsqrteLUT[6048] = 16'h9e4f;
6208
RsqrteLUT[6049] = 16'h9e4c;
6209
RsqrteLUT[6050] = 16'h9e49;
6210
RsqrteLUT[6051] = 16'h9e46;
6211
RsqrteLUT[6052] = 16'h9e43;
6212
RsqrteLUT[6053] = 16'h9e40;
6213
RsqrteLUT[6054] = 16'h9e3d;
6214
RsqrteLUT[6055] = 16'h9e3a;
6215
RsqrteLUT[6056] = 16'h9e38;
6216
RsqrteLUT[6057] = 16'h9e35;
6217
RsqrteLUT[6058] = 16'h9e32;
6218
RsqrteLUT[6059] = 16'h9e2f;
6219
RsqrteLUT[6060] = 16'h9e2d;
6220
RsqrteLUT[6061] = 16'h9e2a;
6221
RsqrteLUT[6062] = 16'h9e28;
6222
RsqrteLUT[6063] = 16'h9e25;
6223
RsqrteLUT[6064] = 16'h9e23;
6224
RsqrteLUT[6065] = 16'h9e20;
6225
RsqrteLUT[6066] = 16'h9e1e;
6226
RsqrteLUT[6067] = 16'h9e1c;
6227
RsqrteLUT[6068] = 16'h9e19;
6228
RsqrteLUT[6069] = 16'h9e17;
6229
RsqrteLUT[6070] = 16'h9e15;
6230
RsqrteLUT[6071] = 16'h9e13;
6231
RsqrteLUT[6072] = 16'h9e10;
6232
RsqrteLUT[6073] = 16'h9e0e;
6233
RsqrteLUT[6074] = 16'h9e0c;
6234
RsqrteLUT[6075] = 16'h9e0a;
6235
RsqrteLUT[6076] = 16'h9e08;
6236
RsqrteLUT[6077] = 16'h9e06;
6237
RsqrteLUT[6078] = 16'h9e04;
6238
RsqrteLUT[6079] = 16'h9e02;
6239
RsqrteLUT[6080] = 16'h9e00;
6240
RsqrteLUT[6081] = 16'h9df8;
6241
RsqrteLUT[6082] = 16'h9df0;
6242
RsqrteLUT[6083] = 16'h9de8;
6243
RsqrteLUT[6084] = 16'h9de1;
6244
RsqrteLUT[6085] = 16'h9dda;
6245
RsqrteLUT[6086] = 16'h9dd3;
6246
RsqrteLUT[6087] = 16'h9dcc;
6247
RsqrteLUT[6088] = 16'h9dc5;
6248
RsqrteLUT[6089] = 16'h9dbe;
6249
RsqrteLUT[6090] = 16'h9db8;
6250
RsqrteLUT[6091] = 16'h9db1;
6251
RsqrteLUT[6092] = 16'h9dab;
6252
RsqrteLUT[6093] = 16'h9da5;
6253
RsqrteLUT[6094] = 16'h9d9f;
6254
RsqrteLUT[6095] = 16'h9d99;
6255
RsqrteLUT[6096] = 16'h9d93;
6256
RsqrteLUT[6097] = 16'h9d8e;
6257
RsqrteLUT[6098] = 16'h9d88;
6258
RsqrteLUT[6099] = 16'h9d83;
6259
RsqrteLUT[6100] = 16'h9d7d;
6260
RsqrteLUT[6101] = 16'h9d78;
6261
RsqrteLUT[6102] = 16'h9d73;
6262
RsqrteLUT[6103] = 16'h9d6e;
6263
RsqrteLUT[6104] = 16'h9d69;
6264
RsqrteLUT[6105] = 16'h9d64;
6265
RsqrteLUT[6106] = 16'h9d5f;
6266
RsqrteLUT[6107] = 16'h9d5a;
6267
RsqrteLUT[6108] = 16'h9d56;
6268
RsqrteLUT[6109] = 16'h9d51;
6269
RsqrteLUT[6110] = 16'h9d4c;
6270
RsqrteLUT[6111] = 16'h9d48;
6271
RsqrteLUT[6112] = 16'h9d44;
6272
RsqrteLUT[6113] = 16'h9d3f;
6273
RsqrteLUT[6114] = 16'h9d3b;
6274
RsqrteLUT[6115] = 16'h9d37;
6275
RsqrteLUT[6116] = 16'h9d33;
6276
RsqrteLUT[6117] = 16'h9d2f;
6277
RsqrteLUT[6118] = 16'h9d2b;
6278
RsqrteLUT[6119] = 16'h9d27;
6279
RsqrteLUT[6120] = 16'h9d23;
6280
RsqrteLUT[6121] = 16'h9d1f;
6281
RsqrteLUT[6122] = 16'h9d1b;
6282
RsqrteLUT[6123] = 16'h9d17;
6283
RsqrteLUT[6124] = 16'h9d14;
6284
RsqrteLUT[6125] = 16'h9d10;
6285
RsqrteLUT[6126] = 16'h9d0d;
6286
RsqrteLUT[6127] = 16'h9d09;
6287
RsqrteLUT[6128] = 16'h9d06;
6288
RsqrteLUT[6129] = 16'h9d02;
6289
RsqrteLUT[6130] = 16'h9cff;
6290
RsqrteLUT[6131] = 16'h9cfb;
6291
RsqrteLUT[6132] = 16'h9cf8;
6292
RsqrteLUT[6133] = 16'h9cf5;
6293
RsqrteLUT[6134] = 16'h9cf2;
6294
RsqrteLUT[6135] = 16'h9cee;
6295
RsqrteLUT[6136] = 16'h9ceb;
6296
RsqrteLUT[6137] = 16'h9ce8;
6297
RsqrteLUT[6138] = 16'h9ce5;
6298
RsqrteLUT[6139] = 16'h9ce2;
6299
RsqrteLUT[6140] = 16'h9cdf;
6300
RsqrteLUT[6141] = 16'h9cdc;
6301
RsqrteLUT[6142] = 16'h9cd9;
6302
RsqrteLUT[6143] = 16'h9cd6;
6303
RsqrteLUT[6144] = 16'h9cd4;
6304
RsqrteLUT[6145] = 16'h9cce;
6305
RsqrteLUT[6146] = 16'h9cc9;
6306
RsqrteLUT[6147] = 16'h9cc3;
6307
RsqrteLUT[6148] = 16'h9cbe;
6308
RsqrteLUT[6149] = 16'h9cb9;
6309
RsqrteLUT[6150] = 16'h9cb4;
6310
RsqrteLUT[6151] = 16'h9caf;
6311
RsqrteLUT[6152] = 16'h9caa;
6312
RsqrteLUT[6153] = 16'h9ca5;
6313
RsqrteLUT[6154] = 16'h9ca1;
6314
RsqrteLUT[6155] = 16'h9c9c;
6315
RsqrteLUT[6156] = 16'h9c98;
6316
RsqrteLUT[6157] = 16'h9c94;
6317
RsqrteLUT[6158] = 16'h9c8f;
6318
RsqrteLUT[6159] = 16'h9c8b;
6319
RsqrteLUT[6160] = 16'h9c87;
6320
RsqrteLUT[6161] = 16'h9c83;
6321
RsqrteLUT[6162] = 16'h9c7f;
6322
RsqrteLUT[6163] = 16'h9c7b;
6323
RsqrteLUT[6164] = 16'h9c78;
6324
RsqrteLUT[6165] = 16'h9c74;
6325
RsqrteLUT[6166] = 16'h9c70;
6326
RsqrteLUT[6167] = 16'h9c6d;
6327
RsqrteLUT[6168] = 16'h9c69;
6328
RsqrteLUT[6169] = 16'h9c66;
6329
RsqrteLUT[6170] = 16'h9c62;
6330
RsqrteLUT[6171] = 16'h9c5f;
6331
RsqrteLUT[6172] = 16'h9c5b;
6332
RsqrteLUT[6173] = 16'h9c58;
6333
RsqrteLUT[6174] = 16'h9c55;
6334
RsqrteLUT[6175] = 16'h9c52;
6335
RsqrteLUT[6176] = 16'h9c4f;
6336
RsqrteLUT[6177] = 16'h9c4c;
6337
RsqrteLUT[6178] = 16'h9c49;
6338
RsqrteLUT[6179] = 16'h9c46;
6339
RsqrteLUT[6180] = 16'h9c43;
6340
RsqrteLUT[6181] = 16'h9c40;
6341
RsqrteLUT[6182] = 16'h9c3d;
6342
RsqrteLUT[6183] = 16'h9c3a;
6343
RsqrteLUT[6184] = 16'h9c38;
6344
RsqrteLUT[6185] = 16'h9c35;
6345
RsqrteLUT[6186] = 16'h9c32;
6346
RsqrteLUT[6187] = 16'h9c2f;
6347
RsqrteLUT[6188] = 16'h9c2d;
6348
RsqrteLUT[6189] = 16'h9c2a;
6349
RsqrteLUT[6190] = 16'h9c28;
6350
RsqrteLUT[6191] = 16'h9c25;
6351
RsqrteLUT[6192] = 16'h9c23;
6352
RsqrteLUT[6193] = 16'h9c20;
6353
RsqrteLUT[6194] = 16'h9c1e;
6354
RsqrteLUT[6195] = 16'h9c1c;
6355
RsqrteLUT[6196] = 16'h9c19;
6356
RsqrteLUT[6197] = 16'h9c17;
6357
RsqrteLUT[6198] = 16'h9c15;
6358
RsqrteLUT[6199] = 16'h9c13;
6359
RsqrteLUT[6200] = 16'h9c10;
6360
RsqrteLUT[6201] = 16'h9c0e;
6361
RsqrteLUT[6202] = 16'h9c0c;
6362
RsqrteLUT[6203] = 16'h9c0a;
6363
RsqrteLUT[6204] = 16'h9c08;
6364
RsqrteLUT[6205] = 16'h9c06;
6365
RsqrteLUT[6206] = 16'h9c04;
6366
RsqrteLUT[6207] = 16'h9c02;
6367
RsqrteLUT[6208] = 16'h9c00;
6368
RsqrteLUT[6209] = 16'h9bf8;
6369
RsqrteLUT[6210] = 16'h9bf0;
6370
RsqrteLUT[6211] = 16'h9be8;
6371
RsqrteLUT[6212] = 16'h9be1;
6372
RsqrteLUT[6213] = 16'h9bda;
6373
RsqrteLUT[6214] = 16'h9bd3;
6374
RsqrteLUT[6215] = 16'h9bcc;
6375
RsqrteLUT[6216] = 16'h9bc5;
6376
RsqrteLUT[6217] = 16'h9bbe;
6377
RsqrteLUT[6218] = 16'h9bb8;
6378
RsqrteLUT[6219] = 16'h9bb1;
6379
RsqrteLUT[6220] = 16'h9bab;
6380
RsqrteLUT[6221] = 16'h9ba5;
6381
RsqrteLUT[6222] = 16'h9b9f;
6382
RsqrteLUT[6223] = 16'h9b99;
6383
RsqrteLUT[6224] = 16'h9b93;
6384
RsqrteLUT[6225] = 16'h9b8e;
6385
RsqrteLUT[6226] = 16'h9b88;
6386
RsqrteLUT[6227] = 16'h9b83;
6387
RsqrteLUT[6228] = 16'h9b7d;
6388
RsqrteLUT[6229] = 16'h9b78;
6389
RsqrteLUT[6230] = 16'h9b73;
6390
RsqrteLUT[6231] = 16'h9b6e;
6391
RsqrteLUT[6232] = 16'h9b69;
6392
RsqrteLUT[6233] = 16'h9b64;
6393
RsqrteLUT[6234] = 16'h9b5f;
6394
RsqrteLUT[6235] = 16'h9b5a;
6395
RsqrteLUT[6236] = 16'h9b56;
6396
RsqrteLUT[6237] = 16'h9b51;
6397
RsqrteLUT[6238] = 16'h9b4c;
6398
RsqrteLUT[6239] = 16'h9b48;
6399
RsqrteLUT[6240] = 16'h9b44;
6400
RsqrteLUT[6241] = 16'h9b3f;
6401
RsqrteLUT[6242] = 16'h9b3b;
6402
RsqrteLUT[6243] = 16'h9b37;
6403
RsqrteLUT[6244] = 16'h9b33;
6404
RsqrteLUT[6245] = 16'h9b2f;
6405
RsqrteLUT[6246] = 16'h9b2b;
6406
RsqrteLUT[6247] = 16'h9b27;
6407
RsqrteLUT[6248] = 16'h9b23;
6408
RsqrteLUT[6249] = 16'h9b1f;
6409
RsqrteLUT[6250] = 16'h9b1b;
6410
RsqrteLUT[6251] = 16'h9b17;
6411
RsqrteLUT[6252] = 16'h9b14;
6412
RsqrteLUT[6253] = 16'h9b10;
6413
RsqrteLUT[6254] = 16'h9b0d;
6414
RsqrteLUT[6255] = 16'h9b09;
6415
RsqrteLUT[6256] = 16'h9b06;
6416
RsqrteLUT[6257] = 16'h9b02;
6417
RsqrteLUT[6258] = 16'h9aff;
6418
RsqrteLUT[6259] = 16'h9afb;
6419
RsqrteLUT[6260] = 16'h9af8;
6420
RsqrteLUT[6261] = 16'h9af5;
6421
RsqrteLUT[6262] = 16'h9af2;
6422
RsqrteLUT[6263] = 16'h9aee;
6423
RsqrteLUT[6264] = 16'h9aeb;
6424
RsqrteLUT[6265] = 16'h9ae8;
6425
RsqrteLUT[6266] = 16'h9ae5;
6426
RsqrteLUT[6267] = 16'h9ae2;
6427
RsqrteLUT[6268] = 16'h9adf;
6428
RsqrteLUT[6269] = 16'h9adc;
6429
RsqrteLUT[6270] = 16'h9ad9;
6430
RsqrteLUT[6271] = 16'h9ad6;
6431
RsqrteLUT[6272] = 16'h9ad4;
6432
RsqrteLUT[6273] = 16'h9ace;
6433
RsqrteLUT[6274] = 16'h9ac9;
6434
RsqrteLUT[6275] = 16'h9ac3;
6435
RsqrteLUT[6276] = 16'h9abe;
6436
RsqrteLUT[6277] = 16'h9ab9;
6437
RsqrteLUT[6278] = 16'h9ab4;
6438
RsqrteLUT[6279] = 16'h9aaf;
6439
RsqrteLUT[6280] = 16'h9aaa;
6440
RsqrteLUT[6281] = 16'h9aa5;
6441
RsqrteLUT[6282] = 16'h9aa1;
6442
RsqrteLUT[6283] = 16'h9a9c;
6443
RsqrteLUT[6284] = 16'h9a98;
6444
RsqrteLUT[6285] = 16'h9a94;
6445
RsqrteLUT[6286] = 16'h9a8f;
6446
RsqrteLUT[6287] = 16'h9a8b;
6447
RsqrteLUT[6288] = 16'h9a87;
6448
RsqrteLUT[6289] = 16'h9a83;
6449
RsqrteLUT[6290] = 16'h9a7f;
6450
RsqrteLUT[6291] = 16'h9a7b;
6451
RsqrteLUT[6292] = 16'h9a78;
6452
RsqrteLUT[6293] = 16'h9a74;
6453
RsqrteLUT[6294] = 16'h9a70;
6454
RsqrteLUT[6295] = 16'h9a6d;
6455
RsqrteLUT[6296] = 16'h9a69;
6456
RsqrteLUT[6297] = 16'h9a66;
6457
RsqrteLUT[6298] = 16'h9a62;
6458
RsqrteLUT[6299] = 16'h9a5f;
6459
RsqrteLUT[6300] = 16'h9a5b;
6460
RsqrteLUT[6301] = 16'h9a58;
6461
RsqrteLUT[6302] = 16'h9a55;
6462
RsqrteLUT[6303] = 16'h9a52;
6463
RsqrteLUT[6304] = 16'h9a4f;
6464
RsqrteLUT[6305] = 16'h9a4c;
6465
RsqrteLUT[6306] = 16'h9a49;
6466
RsqrteLUT[6307] = 16'h9a46;
6467
RsqrteLUT[6308] = 16'h9a43;
6468
RsqrteLUT[6309] = 16'h9a40;
6469
RsqrteLUT[6310] = 16'h9a3d;
6470
RsqrteLUT[6311] = 16'h9a3a;
6471
RsqrteLUT[6312] = 16'h9a38;
6472
RsqrteLUT[6313] = 16'h9a35;
6473
RsqrteLUT[6314] = 16'h9a32;
6474
RsqrteLUT[6315] = 16'h9a2f;
6475
RsqrteLUT[6316] = 16'h9a2d;
6476
RsqrteLUT[6317] = 16'h9a2a;
6477
RsqrteLUT[6318] = 16'h9a28;
6478
RsqrteLUT[6319] = 16'h9a25;
6479
RsqrteLUT[6320] = 16'h9a23;
6480
RsqrteLUT[6321] = 16'h9a20;
6481
RsqrteLUT[6322] = 16'h9a1e;
6482
RsqrteLUT[6323] = 16'h9a1c;
6483
RsqrteLUT[6324] = 16'h9a19;
6484
RsqrteLUT[6325] = 16'h9a17;
6485
RsqrteLUT[6326] = 16'h9a15;
6486
RsqrteLUT[6327] = 16'h9a13;
6487
RsqrteLUT[6328] = 16'h9a10;
6488
RsqrteLUT[6329] = 16'h9a0e;
6489
RsqrteLUT[6330] = 16'h9a0c;
6490
RsqrteLUT[6331] = 16'h9a0a;
6491
RsqrteLUT[6332] = 16'h9a08;
6492
RsqrteLUT[6333] = 16'h9a06;
6493
RsqrteLUT[6334] = 16'h9a04;
6494
RsqrteLUT[6335] = 16'h9a02;
6495
RsqrteLUT[6336] = 16'h9a00;
6496
RsqrteLUT[6337] = 16'h99f8;
6497
RsqrteLUT[6338] = 16'h99f0;
6498
RsqrteLUT[6339] = 16'h99e8;
6499
RsqrteLUT[6340] = 16'h99e1;
6500
RsqrteLUT[6341] = 16'h99da;
6501
RsqrteLUT[6342] = 16'h99d3;
6502
RsqrteLUT[6343] = 16'h99cc;
6503
RsqrteLUT[6344] = 16'h99c5;
6504
RsqrteLUT[6345] = 16'h99be;
6505
RsqrteLUT[6346] = 16'h99b8;
6506
RsqrteLUT[6347] = 16'h99b1;
6507
RsqrteLUT[6348] = 16'h99ab;
6508
RsqrteLUT[6349] = 16'h99a5;
6509
RsqrteLUT[6350] = 16'h999f;
6510
RsqrteLUT[6351] = 16'h9999;
6511
RsqrteLUT[6352] = 16'h9993;
6512
RsqrteLUT[6353] = 16'h998e;
6513
RsqrteLUT[6354] = 16'h9988;
6514
RsqrteLUT[6355] = 16'h9983;
6515
RsqrteLUT[6356] = 16'h997d;
6516
RsqrteLUT[6357] = 16'h9978;
6517
RsqrteLUT[6358] = 16'h9973;
6518
RsqrteLUT[6359] = 16'h996e;
6519
RsqrteLUT[6360] = 16'h9969;
6520
RsqrteLUT[6361] = 16'h9964;
6521
RsqrteLUT[6362] = 16'h995f;
6522
RsqrteLUT[6363] = 16'h995a;
6523
RsqrteLUT[6364] = 16'h9956;
6524
RsqrteLUT[6365] = 16'h9951;
6525
RsqrteLUT[6366] = 16'h994c;
6526
RsqrteLUT[6367] = 16'h9948;
6527
RsqrteLUT[6368] = 16'h9944;
6528
RsqrteLUT[6369] = 16'h993f;
6529
RsqrteLUT[6370] = 16'h993b;
6530
RsqrteLUT[6371] = 16'h9937;
6531
RsqrteLUT[6372] = 16'h9933;
6532
RsqrteLUT[6373] = 16'h992f;
6533
RsqrteLUT[6374] = 16'h992b;
6534
RsqrteLUT[6375] = 16'h9927;
6535
RsqrteLUT[6376] = 16'h9923;
6536
RsqrteLUT[6377] = 16'h991f;
6537
RsqrteLUT[6378] = 16'h991b;
6538
RsqrteLUT[6379] = 16'h9917;
6539
RsqrteLUT[6380] = 16'h9914;
6540
RsqrteLUT[6381] = 16'h9910;
6541
RsqrteLUT[6382] = 16'h990d;
6542
RsqrteLUT[6383] = 16'h9909;
6543
RsqrteLUT[6384] = 16'h9906;
6544
RsqrteLUT[6385] = 16'h9902;
6545
RsqrteLUT[6386] = 16'h98ff;
6546
RsqrteLUT[6387] = 16'h98fb;
6547
RsqrteLUT[6388] = 16'h98f8;
6548
RsqrteLUT[6389] = 16'h98f5;
6549
RsqrteLUT[6390] = 16'h98f2;
6550
RsqrteLUT[6391] = 16'h98ee;
6551
RsqrteLUT[6392] = 16'h98eb;
6552
RsqrteLUT[6393] = 16'h98e8;
6553
RsqrteLUT[6394] = 16'h98e5;
6554
RsqrteLUT[6395] = 16'h98e2;
6555
RsqrteLUT[6396] = 16'h98df;
6556
RsqrteLUT[6397] = 16'h98dc;
6557
RsqrteLUT[6398] = 16'h98d9;
6558
RsqrteLUT[6399] = 16'h98d6;
6559
RsqrteLUT[6400] = 16'h98d4;
6560
RsqrteLUT[6401] = 16'h98ce;
6561
RsqrteLUT[6402] = 16'h98c9;
6562
RsqrteLUT[6403] = 16'h98c3;
6563
RsqrteLUT[6404] = 16'h98be;
6564
RsqrteLUT[6405] = 16'h98b9;
6565
RsqrteLUT[6406] = 16'h98b4;
6566
RsqrteLUT[6407] = 16'h98af;
6567
RsqrteLUT[6408] = 16'h98aa;
6568
RsqrteLUT[6409] = 16'h98a5;
6569
RsqrteLUT[6410] = 16'h98a1;
6570
RsqrteLUT[6411] = 16'h989c;
6571
RsqrteLUT[6412] = 16'h9898;
6572
RsqrteLUT[6413] = 16'h9894;
6573
RsqrteLUT[6414] = 16'h988f;
6574
RsqrteLUT[6415] = 16'h988b;
6575
RsqrteLUT[6416] = 16'h9887;
6576
RsqrteLUT[6417] = 16'h9883;
6577
RsqrteLUT[6418] = 16'h987f;
6578
RsqrteLUT[6419] = 16'h987b;
6579
RsqrteLUT[6420] = 16'h9878;
6580
RsqrteLUT[6421] = 16'h9874;
6581
RsqrteLUT[6422] = 16'h9870;
6582
RsqrteLUT[6423] = 16'h986d;
6583
RsqrteLUT[6424] = 16'h9869;
6584
RsqrteLUT[6425] = 16'h9866;
6585
RsqrteLUT[6426] = 16'h9862;
6586
RsqrteLUT[6427] = 16'h985f;
6587
RsqrteLUT[6428] = 16'h985b;
6588
RsqrteLUT[6429] = 16'h9858;
6589
RsqrteLUT[6430] = 16'h9855;
6590
RsqrteLUT[6431] = 16'h9852;
6591
RsqrteLUT[6432] = 16'h984f;
6592
RsqrteLUT[6433] = 16'h984c;
6593
RsqrteLUT[6434] = 16'h9849;
6594
RsqrteLUT[6435] = 16'h9846;
6595
RsqrteLUT[6436] = 16'h9843;
6596
RsqrteLUT[6437] = 16'h9840;
6597
RsqrteLUT[6438] = 16'h983d;
6598
RsqrteLUT[6439] = 16'h983a;
6599
RsqrteLUT[6440] = 16'h9838;
6600
RsqrteLUT[6441] = 16'h9835;
6601
RsqrteLUT[6442] = 16'h9832;
6602
RsqrteLUT[6443] = 16'h982f;
6603
RsqrteLUT[6444] = 16'h982d;
6604
RsqrteLUT[6445] = 16'h982a;
6605
RsqrteLUT[6446] = 16'h9828;
6606
RsqrteLUT[6447] = 16'h9825;
6607
RsqrteLUT[6448] = 16'h9823;
6608
RsqrteLUT[6449] = 16'h9820;
6609
RsqrteLUT[6450] = 16'h981e;
6610
RsqrteLUT[6451] = 16'h981c;
6611
RsqrteLUT[6452] = 16'h9819;
6612
RsqrteLUT[6453] = 16'h9817;
6613
RsqrteLUT[6454] = 16'h9815;
6614
RsqrteLUT[6455] = 16'h9813;
6615
RsqrteLUT[6456] = 16'h9810;
6616
RsqrteLUT[6457] = 16'h980e;
6617
RsqrteLUT[6458] = 16'h980c;
6618
RsqrteLUT[6459] = 16'h980a;
6619
RsqrteLUT[6460] = 16'h9808;
6620
RsqrteLUT[6461] = 16'h9806;
6621
RsqrteLUT[6462] = 16'h9804;
6622
RsqrteLUT[6463] = 16'h9802;
6623
RsqrteLUT[6464] = 16'h9800;
6624
RsqrteLUT[6465] = 16'h97f8;
6625
RsqrteLUT[6466] = 16'h97f0;
6626
RsqrteLUT[6467] = 16'h97e8;
6627
RsqrteLUT[6468] = 16'h97e1;
6628
RsqrteLUT[6469] = 16'h97da;
6629
RsqrteLUT[6470] = 16'h97d3;
6630
RsqrteLUT[6471] = 16'h97cc;
6631
RsqrteLUT[6472] = 16'h97c5;
6632
RsqrteLUT[6473] = 16'h97be;
6633
RsqrteLUT[6474] = 16'h97b8;
6634
RsqrteLUT[6475] = 16'h97b1;
6635
RsqrteLUT[6476] = 16'h97ab;
6636
RsqrteLUT[6477] = 16'h97a5;
6637
RsqrteLUT[6478] = 16'h979f;
6638
RsqrteLUT[6479] = 16'h9799;
6639
RsqrteLUT[6480] = 16'h9793;
6640
RsqrteLUT[6481] = 16'h978e;
6641
RsqrteLUT[6482] = 16'h9788;
6642
RsqrteLUT[6483] = 16'h9783;
6643
RsqrteLUT[6484] = 16'h977d;
6644
RsqrteLUT[6485] = 16'h9778;
6645
RsqrteLUT[6486] = 16'h9773;
6646
RsqrteLUT[6487] = 16'h976e;
6647
RsqrteLUT[6488] = 16'h9769;
6648
RsqrteLUT[6489] = 16'h9764;
6649
RsqrteLUT[6490] = 16'h975f;
6650
RsqrteLUT[6491] = 16'h975a;
6651
RsqrteLUT[6492] = 16'h9756;
6652
RsqrteLUT[6493] = 16'h9751;
6653
RsqrteLUT[6494] = 16'h974c;
6654
RsqrteLUT[6495] = 16'h9748;
6655
RsqrteLUT[6496] = 16'h9744;
6656
RsqrteLUT[6497] = 16'h973f;
6657
RsqrteLUT[6498] = 16'h973b;
6658
RsqrteLUT[6499] = 16'h9737;
6659
RsqrteLUT[6500] = 16'h9733;
6660
RsqrteLUT[6501] = 16'h972f;
6661
RsqrteLUT[6502] = 16'h972b;
6662
RsqrteLUT[6503] = 16'h9727;
6663
RsqrteLUT[6504] = 16'h9723;
6664
RsqrteLUT[6505] = 16'h971f;
6665
RsqrteLUT[6506] = 16'h971b;
6666
RsqrteLUT[6507] = 16'h9717;
6667
RsqrteLUT[6508] = 16'h9714;
6668
RsqrteLUT[6509] = 16'h9710;
6669
RsqrteLUT[6510] = 16'h970d;
6670
RsqrteLUT[6511] = 16'h9709;
6671
RsqrteLUT[6512] = 16'h9706;
6672
RsqrteLUT[6513] = 16'h9702;
6673
RsqrteLUT[6514] = 16'h96ff;
6674
RsqrteLUT[6515] = 16'h96fb;
6675
RsqrteLUT[6516] = 16'h96f8;
6676
RsqrteLUT[6517] = 16'h96f5;
6677
RsqrteLUT[6518] = 16'h96f2;
6678
RsqrteLUT[6519] = 16'h96ee;
6679
RsqrteLUT[6520] = 16'h96eb;
6680
RsqrteLUT[6521] = 16'h96e8;
6681
RsqrteLUT[6522] = 16'h96e5;
6682
RsqrteLUT[6523] = 16'h96e2;
6683
RsqrteLUT[6524] = 16'h96df;
6684
RsqrteLUT[6525] = 16'h96dc;
6685
RsqrteLUT[6526] = 16'h96d9;
6686
RsqrteLUT[6527] = 16'h96d6;
6687
RsqrteLUT[6528] = 16'h96d4;
6688
RsqrteLUT[6529] = 16'h96ce;
6689
RsqrteLUT[6530] = 16'h96c9;
6690
RsqrteLUT[6531] = 16'h96c3;
6691
RsqrteLUT[6532] = 16'h96be;
6692
RsqrteLUT[6533] = 16'h96b9;
6693
RsqrteLUT[6534] = 16'h96b4;
6694
RsqrteLUT[6535] = 16'h96af;
6695
RsqrteLUT[6536] = 16'h96aa;
6696
RsqrteLUT[6537] = 16'h96a5;
6697
RsqrteLUT[6538] = 16'h96a1;
6698
RsqrteLUT[6539] = 16'h969c;
6699
RsqrteLUT[6540] = 16'h9698;
6700
RsqrteLUT[6541] = 16'h9694;
6701
RsqrteLUT[6542] = 16'h968f;
6702
RsqrteLUT[6543] = 16'h968b;
6703
RsqrteLUT[6544] = 16'h9687;
6704
RsqrteLUT[6545] = 16'h9683;
6705
RsqrteLUT[6546] = 16'h967f;
6706
RsqrteLUT[6547] = 16'h967b;
6707
RsqrteLUT[6548] = 16'h9678;
6708
RsqrteLUT[6549] = 16'h9674;
6709
RsqrteLUT[6550] = 16'h9670;
6710
RsqrteLUT[6551] = 16'h966d;
6711
RsqrteLUT[6552] = 16'h9669;
6712
RsqrteLUT[6553] = 16'h9666;
6713
RsqrteLUT[6554] = 16'h9662;
6714
RsqrteLUT[6555] = 16'h965f;
6715
RsqrteLUT[6556] = 16'h965b;
6716
RsqrteLUT[6557] = 16'h9658;
6717
RsqrteLUT[6558] = 16'h9655;
6718
RsqrteLUT[6559] = 16'h9652;
6719
RsqrteLUT[6560] = 16'h964f;
6720
RsqrteLUT[6561] = 16'h964c;
6721
RsqrteLUT[6562] = 16'h9649;
6722
RsqrteLUT[6563] = 16'h9646;
6723
RsqrteLUT[6564] = 16'h9643;
6724
RsqrteLUT[6565] = 16'h9640;
6725
RsqrteLUT[6566] = 16'h963d;
6726
RsqrteLUT[6567] = 16'h963a;
6727
RsqrteLUT[6568] = 16'h9638;
6728
RsqrteLUT[6569] = 16'h9635;
6729
RsqrteLUT[6570] = 16'h9632;
6730
RsqrteLUT[6571] = 16'h962f;
6731
RsqrteLUT[6572] = 16'h962d;
6732
RsqrteLUT[6573] = 16'h962a;
6733
RsqrteLUT[6574] = 16'h9628;
6734
RsqrteLUT[6575] = 16'h9625;
6735
RsqrteLUT[6576] = 16'h9623;
6736
RsqrteLUT[6577] = 16'h9620;
6737
RsqrteLUT[6578] = 16'h961e;
6738
RsqrteLUT[6579] = 16'h961c;
6739
RsqrteLUT[6580] = 16'h9619;
6740
RsqrteLUT[6581] = 16'h9617;
6741
RsqrteLUT[6582] = 16'h9615;
6742
RsqrteLUT[6583] = 16'h9613;
6743
RsqrteLUT[6584] = 16'h9610;
6744
RsqrteLUT[6585] = 16'h960e;
6745
RsqrteLUT[6586] = 16'h960c;
6746
RsqrteLUT[6587] = 16'h960a;
6747
RsqrteLUT[6588] = 16'h9608;
6748
RsqrteLUT[6589] = 16'h9606;
6749
RsqrteLUT[6590] = 16'h9604;
6750
RsqrteLUT[6591] = 16'h9602;
6751
RsqrteLUT[6592] = 16'h9600;
6752
RsqrteLUT[6593] = 16'h95f8;
6753
RsqrteLUT[6594] = 16'h95f0;
6754
RsqrteLUT[6595] = 16'h95e8;
6755
RsqrteLUT[6596] = 16'h95e1;
6756
RsqrteLUT[6597] = 16'h95da;
6757
RsqrteLUT[6598] = 16'h95d3;
6758
RsqrteLUT[6599] = 16'h95cc;
6759
RsqrteLUT[6600] = 16'h95c5;
6760
RsqrteLUT[6601] = 16'h95be;
6761
RsqrteLUT[6602] = 16'h95b8;
6762
RsqrteLUT[6603] = 16'h95b1;
6763
RsqrteLUT[6604] = 16'h95ab;
6764
RsqrteLUT[6605] = 16'h95a5;
6765
RsqrteLUT[6606] = 16'h959f;
6766
RsqrteLUT[6607] = 16'h9599;
6767
RsqrteLUT[6608] = 16'h9593;
6768
RsqrteLUT[6609] = 16'h958e;
6769
RsqrteLUT[6610] = 16'h9588;
6770
RsqrteLUT[6611] = 16'h9583;
6771
RsqrteLUT[6612] = 16'h957d;
6772
RsqrteLUT[6613] = 16'h9578;
6773
RsqrteLUT[6614] = 16'h9573;
6774
RsqrteLUT[6615] = 16'h956e;
6775
RsqrteLUT[6616] = 16'h9569;
6776
RsqrteLUT[6617] = 16'h9564;
6777
RsqrteLUT[6618] = 16'h955f;
6778
RsqrteLUT[6619] = 16'h955a;
6779
RsqrteLUT[6620] = 16'h9556;
6780
RsqrteLUT[6621] = 16'h9551;
6781
RsqrteLUT[6622] = 16'h954c;
6782
RsqrteLUT[6623] = 16'h9548;
6783
RsqrteLUT[6624] = 16'h9544;
6784
RsqrteLUT[6625] = 16'h953f;
6785
RsqrteLUT[6626] = 16'h953b;
6786
RsqrteLUT[6627] = 16'h9537;
6787
RsqrteLUT[6628] = 16'h9533;
6788
RsqrteLUT[6629] = 16'h952f;
6789
RsqrteLUT[6630] = 16'h952b;
6790
RsqrteLUT[6631] = 16'h9527;
6791
RsqrteLUT[6632] = 16'h9523;
6792
RsqrteLUT[6633] = 16'h951f;
6793
RsqrteLUT[6634] = 16'h951b;
6794
RsqrteLUT[6635] = 16'h9517;
6795
RsqrteLUT[6636] = 16'h9514;
6796
RsqrteLUT[6637] = 16'h9510;
6797
RsqrteLUT[6638] = 16'h950d;
6798
RsqrteLUT[6639] = 16'h9509;
6799
RsqrteLUT[6640] = 16'h9506;
6800
RsqrteLUT[6641] = 16'h9502;
6801
RsqrteLUT[6642] = 16'h94ff;
6802
RsqrteLUT[6643] = 16'h94fb;
6803
RsqrteLUT[6644] = 16'h94f8;
6804
RsqrteLUT[6645] = 16'h94f5;
6805
RsqrteLUT[6646] = 16'h94f2;
6806
RsqrteLUT[6647] = 16'h94ee;
6807
RsqrteLUT[6648] = 16'h94eb;
6808
RsqrteLUT[6649] = 16'h94e8;
6809
RsqrteLUT[6650] = 16'h94e5;
6810
RsqrteLUT[6651] = 16'h94e2;
6811
RsqrteLUT[6652] = 16'h94df;
6812
RsqrteLUT[6653] = 16'h94dc;
6813
RsqrteLUT[6654] = 16'h94d9;
6814
RsqrteLUT[6655] = 16'h94d6;
6815
RsqrteLUT[6656] = 16'h94d4;
6816
RsqrteLUT[6657] = 16'h94ce;
6817
RsqrteLUT[6658] = 16'h94c9;
6818
RsqrteLUT[6659] = 16'h94c3;
6819
RsqrteLUT[6660] = 16'h94be;
6820
RsqrteLUT[6661] = 16'h94b9;
6821
RsqrteLUT[6662] = 16'h94b4;
6822
RsqrteLUT[6663] = 16'h94af;
6823
RsqrteLUT[6664] = 16'h94aa;
6824
RsqrteLUT[6665] = 16'h94a5;
6825
RsqrteLUT[6666] = 16'h94a1;
6826
RsqrteLUT[6667] = 16'h949c;
6827
RsqrteLUT[6668] = 16'h9498;
6828
RsqrteLUT[6669] = 16'h9494;
6829
RsqrteLUT[6670] = 16'h948f;
6830
RsqrteLUT[6671] = 16'h948b;
6831
RsqrteLUT[6672] = 16'h9487;
6832
RsqrteLUT[6673] = 16'h9483;
6833
RsqrteLUT[6674] = 16'h947f;
6834
RsqrteLUT[6675] = 16'h947b;
6835
RsqrteLUT[6676] = 16'h9478;
6836
RsqrteLUT[6677] = 16'h9474;
6837
RsqrteLUT[6678] = 16'h9470;
6838
RsqrteLUT[6679] = 16'h946d;
6839
RsqrteLUT[6680] = 16'h9469;
6840
RsqrteLUT[6681] = 16'h9466;
6841
RsqrteLUT[6682] = 16'h9462;
6842
RsqrteLUT[6683] = 16'h945f;
6843
RsqrteLUT[6684] = 16'h945b;
6844
RsqrteLUT[6685] = 16'h9458;
6845
RsqrteLUT[6686] = 16'h9455;
6846
RsqrteLUT[6687] = 16'h9452;
6847
RsqrteLUT[6688] = 16'h944f;
6848
RsqrteLUT[6689] = 16'h944c;
6849
RsqrteLUT[6690] = 16'h9449;
6850
RsqrteLUT[6691] = 16'h9446;
6851
RsqrteLUT[6692] = 16'h9443;
6852
RsqrteLUT[6693] = 16'h9440;
6853
RsqrteLUT[6694] = 16'h943d;
6854
RsqrteLUT[6695] = 16'h943a;
6855
RsqrteLUT[6696] = 16'h9438;
6856
RsqrteLUT[6697] = 16'h9435;
6857
RsqrteLUT[6698] = 16'h9432;
6858
RsqrteLUT[6699] = 16'h942f;
6859
RsqrteLUT[6700] = 16'h942d;
6860
RsqrteLUT[6701] = 16'h942a;
6861
RsqrteLUT[6702] = 16'h9428;
6862
RsqrteLUT[6703] = 16'h9425;
6863
RsqrteLUT[6704] = 16'h9423;
6864
RsqrteLUT[6705] = 16'h9420;
6865
RsqrteLUT[6706] = 16'h941e;
6866
RsqrteLUT[6707] = 16'h941c;
6867
RsqrteLUT[6708] = 16'h9419;
6868
RsqrteLUT[6709] = 16'h9417;
6869
RsqrteLUT[6710] = 16'h9415;
6870
RsqrteLUT[6711] = 16'h9413;
6871
RsqrteLUT[6712] = 16'h9410;
6872
RsqrteLUT[6713] = 16'h940e;
6873
RsqrteLUT[6714] = 16'h940c;
6874
RsqrteLUT[6715] = 16'h940a;
6875
RsqrteLUT[6716] = 16'h9408;
6876
RsqrteLUT[6717] = 16'h9406;
6877
RsqrteLUT[6718] = 16'h9404;
6878
RsqrteLUT[6719] = 16'h9402;
6879
RsqrteLUT[6720] = 16'h9400;
6880
RsqrteLUT[6721] = 16'h93f8;
6881
RsqrteLUT[6722] = 16'h93f0;
6882
RsqrteLUT[6723] = 16'h93e8;
6883
RsqrteLUT[6724] = 16'h93e1;
6884
RsqrteLUT[6725] = 16'h93da;
6885
RsqrteLUT[6726] = 16'h93d3;
6886
RsqrteLUT[6727] = 16'h93cc;
6887
RsqrteLUT[6728] = 16'h93c5;
6888
RsqrteLUT[6729] = 16'h93be;
6889
RsqrteLUT[6730] = 16'h93b8;
6890
RsqrteLUT[6731] = 16'h93b1;
6891
RsqrteLUT[6732] = 16'h93ab;
6892
RsqrteLUT[6733] = 16'h93a5;
6893
RsqrteLUT[6734] = 16'h939f;
6894
RsqrteLUT[6735] = 16'h9399;
6895
RsqrteLUT[6736] = 16'h9393;
6896
RsqrteLUT[6737] = 16'h938e;
6897
RsqrteLUT[6738] = 16'h9388;
6898
RsqrteLUT[6739] = 16'h9383;
6899
RsqrteLUT[6740] = 16'h937d;
6900
RsqrteLUT[6741] = 16'h9378;
6901
RsqrteLUT[6742] = 16'h9373;
6902
RsqrteLUT[6743] = 16'h936e;
6903
RsqrteLUT[6744] = 16'h9369;
6904
RsqrteLUT[6745] = 16'h9364;
6905
RsqrteLUT[6746] = 16'h935f;
6906
RsqrteLUT[6747] = 16'h935a;
6907
RsqrteLUT[6748] = 16'h9356;
6908
RsqrteLUT[6749] = 16'h9351;
6909
RsqrteLUT[6750] = 16'h934c;
6910
RsqrteLUT[6751] = 16'h9348;
6911
RsqrteLUT[6752] = 16'h9344;
6912
RsqrteLUT[6753] = 16'h933f;
6913
RsqrteLUT[6754] = 16'h933b;
6914
RsqrteLUT[6755] = 16'h9337;
6915
RsqrteLUT[6756] = 16'h9333;
6916
RsqrteLUT[6757] = 16'h932f;
6917
RsqrteLUT[6758] = 16'h932b;
6918
RsqrteLUT[6759] = 16'h9327;
6919
RsqrteLUT[6760] = 16'h9323;
6920
RsqrteLUT[6761] = 16'h931f;
6921
RsqrteLUT[6762] = 16'h931b;
6922
RsqrteLUT[6763] = 16'h9317;
6923
RsqrteLUT[6764] = 16'h9314;
6924
RsqrteLUT[6765] = 16'h9310;
6925
RsqrteLUT[6766] = 16'h930d;
6926
RsqrteLUT[6767] = 16'h9309;
6927
RsqrteLUT[6768] = 16'h9306;
6928
RsqrteLUT[6769] = 16'h9302;
6929
RsqrteLUT[6770] = 16'h92ff;
6930
RsqrteLUT[6771] = 16'h92fb;
6931
RsqrteLUT[6772] = 16'h92f8;
6932
RsqrteLUT[6773] = 16'h92f5;
6933
RsqrteLUT[6774] = 16'h92f2;
6934
RsqrteLUT[6775] = 16'h92ee;
6935
RsqrteLUT[6776] = 16'h92eb;
6936
RsqrteLUT[6777] = 16'h92e8;
6937
RsqrteLUT[6778] = 16'h92e5;
6938
RsqrteLUT[6779] = 16'h92e2;
6939
RsqrteLUT[6780] = 16'h92df;
6940
RsqrteLUT[6781] = 16'h92dc;
6941
RsqrteLUT[6782] = 16'h92d9;
6942
RsqrteLUT[6783] = 16'h92d6;
6943
RsqrteLUT[6784] = 16'h92d4;
6944
RsqrteLUT[6785] = 16'h92ce;
6945
RsqrteLUT[6786] = 16'h92c9;
6946
RsqrteLUT[6787] = 16'h92c3;
6947
RsqrteLUT[6788] = 16'h92be;
6948
RsqrteLUT[6789] = 16'h92b9;
6949
RsqrteLUT[6790] = 16'h92b4;
6950
RsqrteLUT[6791] = 16'h92af;
6951
RsqrteLUT[6792] = 16'h92aa;
6952
RsqrteLUT[6793] = 16'h92a5;
6953
RsqrteLUT[6794] = 16'h92a1;
6954
RsqrteLUT[6795] = 16'h929c;
6955
RsqrteLUT[6796] = 16'h9298;
6956
RsqrteLUT[6797] = 16'h9294;
6957
RsqrteLUT[6798] = 16'h928f;
6958
RsqrteLUT[6799] = 16'h928b;
6959
RsqrteLUT[6800] = 16'h9287;
6960
RsqrteLUT[6801] = 16'h9283;
6961
RsqrteLUT[6802] = 16'h927f;
6962
RsqrteLUT[6803] = 16'h927b;
6963
RsqrteLUT[6804] = 16'h9278;
6964
RsqrteLUT[6805] = 16'h9274;
6965
RsqrteLUT[6806] = 16'h9270;
6966
RsqrteLUT[6807] = 16'h926d;
6967
RsqrteLUT[6808] = 16'h9269;
6968
RsqrteLUT[6809] = 16'h9266;
6969
RsqrteLUT[6810] = 16'h9262;
6970
RsqrteLUT[6811] = 16'h925f;
6971
RsqrteLUT[6812] = 16'h925b;
6972
RsqrteLUT[6813] = 16'h9258;
6973
RsqrteLUT[6814] = 16'h9255;
6974
RsqrteLUT[6815] = 16'h9252;
6975
RsqrteLUT[6816] = 16'h924f;
6976
RsqrteLUT[6817] = 16'h924c;
6977
RsqrteLUT[6818] = 16'h9249;
6978
RsqrteLUT[6819] = 16'h9246;
6979
RsqrteLUT[6820] = 16'h9243;
6980
RsqrteLUT[6821] = 16'h9240;
6981
RsqrteLUT[6822] = 16'h923d;
6982
RsqrteLUT[6823] = 16'h923a;
6983
RsqrteLUT[6824] = 16'h9238;
6984
RsqrteLUT[6825] = 16'h9235;
6985
RsqrteLUT[6826] = 16'h9232;
6986
RsqrteLUT[6827] = 16'h922f;
6987
RsqrteLUT[6828] = 16'h922d;
6988
RsqrteLUT[6829] = 16'h922a;
6989
RsqrteLUT[6830] = 16'h9228;
6990
RsqrteLUT[6831] = 16'h9225;
6991
RsqrteLUT[6832] = 16'h9223;
6992
RsqrteLUT[6833] = 16'h9220;
6993
RsqrteLUT[6834] = 16'h921e;
6994
RsqrteLUT[6835] = 16'h921c;
6995
RsqrteLUT[6836] = 16'h9219;
6996
RsqrteLUT[6837] = 16'h9217;
6997
RsqrteLUT[6838] = 16'h9215;
6998
RsqrteLUT[6839] = 16'h9213;
6999
RsqrteLUT[6840] = 16'h9210;
7000
RsqrteLUT[6841] = 16'h920e;
7001
RsqrteLUT[6842] = 16'h920c;
7002
RsqrteLUT[6843] = 16'h920a;
7003
RsqrteLUT[6844] = 16'h9208;
7004
RsqrteLUT[6845] = 16'h9206;
7005
RsqrteLUT[6846] = 16'h9204;
7006
RsqrteLUT[6847] = 16'h9202;
7007
RsqrteLUT[6848] = 16'h9200;
7008
RsqrteLUT[6849] = 16'h91f8;
7009
RsqrteLUT[6850] = 16'h91f0;
7010
RsqrteLUT[6851] = 16'h91e8;
7011
RsqrteLUT[6852] = 16'h91e1;
7012
RsqrteLUT[6853] = 16'h91da;
7013
RsqrteLUT[6854] = 16'h91d3;
7014
RsqrteLUT[6855] = 16'h91cc;
7015
RsqrteLUT[6856] = 16'h91c5;
7016
RsqrteLUT[6857] = 16'h91be;
7017
RsqrteLUT[6858] = 16'h91b8;
7018
RsqrteLUT[6859] = 16'h91b1;
7019
RsqrteLUT[6860] = 16'h91ab;
7020
RsqrteLUT[6861] = 16'h91a5;
7021
RsqrteLUT[6862] = 16'h919f;
7022
RsqrteLUT[6863] = 16'h9199;
7023
RsqrteLUT[6864] = 16'h9193;
7024
RsqrteLUT[6865] = 16'h918e;
7025
RsqrteLUT[6866] = 16'h9188;
7026
RsqrteLUT[6867] = 16'h9183;
7027
RsqrteLUT[6868] = 16'h917d;
7028
RsqrteLUT[6869] = 16'h9178;
7029
RsqrteLUT[6870] = 16'h9173;
7030
RsqrteLUT[6871] = 16'h916e;
7031
RsqrteLUT[6872] = 16'h9169;
7032
RsqrteLUT[6873] = 16'h9164;
7033
RsqrteLUT[6874] = 16'h915f;
7034
RsqrteLUT[6875] = 16'h915a;
7035
RsqrteLUT[6876] = 16'h9156;
7036
RsqrteLUT[6877] = 16'h9151;
7037
RsqrteLUT[6878] = 16'h914c;
7038
RsqrteLUT[6879] = 16'h9148;
7039
RsqrteLUT[6880] = 16'h9144;
7040
RsqrteLUT[6881] = 16'h913f;
7041
RsqrteLUT[6882] = 16'h913b;
7042
RsqrteLUT[6883] = 16'h9137;
7043
RsqrteLUT[6884] = 16'h9133;
7044
RsqrteLUT[6885] = 16'h912f;
7045
RsqrteLUT[6886] = 16'h912b;
7046
RsqrteLUT[6887] = 16'h9127;
7047
RsqrteLUT[6888] = 16'h9123;
7048
RsqrteLUT[6889] = 16'h911f;
7049
RsqrteLUT[6890] = 16'h911b;
7050
RsqrteLUT[6891] = 16'h9117;
7051
RsqrteLUT[6892] = 16'h9114;
7052
RsqrteLUT[6893] = 16'h9110;
7053
RsqrteLUT[6894] = 16'h910d;
7054
RsqrteLUT[6895] = 16'h9109;
7055
RsqrteLUT[6896] = 16'h9106;
7056
RsqrteLUT[6897] = 16'h9102;
7057
RsqrteLUT[6898] = 16'h90ff;
7058
RsqrteLUT[6899] = 16'h90fb;
7059
RsqrteLUT[6900] = 16'h90f8;
7060
RsqrteLUT[6901] = 16'h90f5;
7061
RsqrteLUT[6902] = 16'h90f2;
7062
RsqrteLUT[6903] = 16'h90ee;
7063
RsqrteLUT[6904] = 16'h90eb;
7064
RsqrteLUT[6905] = 16'h90e8;
7065
RsqrteLUT[6906] = 16'h90e5;
7066
RsqrteLUT[6907] = 16'h90e2;
7067
RsqrteLUT[6908] = 16'h90df;
7068
RsqrteLUT[6909] = 16'h90dc;
7069
RsqrteLUT[6910] = 16'h90d9;
7070
RsqrteLUT[6911] = 16'h90d6;
7071
RsqrteLUT[6912] = 16'h90d4;
7072
RsqrteLUT[6913] = 16'h90ce;
7073
RsqrteLUT[6914] = 16'h90c9;
7074
RsqrteLUT[6915] = 16'h90c3;
7075
RsqrteLUT[6916] = 16'h90be;
7076
RsqrteLUT[6917] = 16'h90b9;
7077
RsqrteLUT[6918] = 16'h90b4;
7078
RsqrteLUT[6919] = 16'h90af;
7079
RsqrteLUT[6920] = 16'h90aa;
7080
RsqrteLUT[6921] = 16'h90a5;
7081
RsqrteLUT[6922] = 16'h90a1;
7082
RsqrteLUT[6923] = 16'h909c;
7083
RsqrteLUT[6924] = 16'h9098;
7084
RsqrteLUT[6925] = 16'h9094;
7085
RsqrteLUT[6926] = 16'h908f;
7086
RsqrteLUT[6927] = 16'h908b;
7087
RsqrteLUT[6928] = 16'h9087;
7088
RsqrteLUT[6929] = 16'h9083;
7089
RsqrteLUT[6930] = 16'h907f;
7090
RsqrteLUT[6931] = 16'h907b;
7091
RsqrteLUT[6932] = 16'h9078;
7092
RsqrteLUT[6933] = 16'h9074;
7093
RsqrteLUT[6934] = 16'h9070;
7094
RsqrteLUT[6935] = 16'h906d;
7095
RsqrteLUT[6936] = 16'h9069;
7096
RsqrteLUT[6937] = 16'h9066;
7097
RsqrteLUT[6938] = 16'h9062;
7098
RsqrteLUT[6939] = 16'h905f;
7099
RsqrteLUT[6940] = 16'h905b;
7100
RsqrteLUT[6941] = 16'h9058;
7101
RsqrteLUT[6942] = 16'h9055;
7102
RsqrteLUT[6943] = 16'h9052;
7103
RsqrteLUT[6944] = 16'h904f;
7104
RsqrteLUT[6945] = 16'h904c;
7105
RsqrteLUT[6946] = 16'h9049;
7106
RsqrteLUT[6947] = 16'h9046;
7107
RsqrteLUT[6948] = 16'h9043;
7108
RsqrteLUT[6949] = 16'h9040;
7109
RsqrteLUT[6950] = 16'h903d;
7110
RsqrteLUT[6951] = 16'h903a;
7111
RsqrteLUT[6952] = 16'h9038;
7112
RsqrteLUT[6953] = 16'h9035;
7113
RsqrteLUT[6954] = 16'h9032;
7114
RsqrteLUT[6955] = 16'h902f;
7115
RsqrteLUT[6956] = 16'h902d;
7116
RsqrteLUT[6957] = 16'h902a;
7117
RsqrteLUT[6958] = 16'h9028;
7118
RsqrteLUT[6959] = 16'h9025;
7119
RsqrteLUT[6960] = 16'h9023;
7120
RsqrteLUT[6961] = 16'h9020;
7121
RsqrteLUT[6962] = 16'h901e;
7122
RsqrteLUT[6963] = 16'h901c;
7123
RsqrteLUT[6964] = 16'h9019;
7124
RsqrteLUT[6965] = 16'h9017;
7125
RsqrteLUT[6966] = 16'h9015;
7126
RsqrteLUT[6967] = 16'h9013;
7127
RsqrteLUT[6968] = 16'h9010;
7128
RsqrteLUT[6969] = 16'h900e;
7129
RsqrteLUT[6970] = 16'h900c;
7130
RsqrteLUT[6971] = 16'h900a;
7131
RsqrteLUT[6972] = 16'h9008;
7132
RsqrteLUT[6973] = 16'h9006;
7133
RsqrteLUT[6974] = 16'h9004;
7134
RsqrteLUT[6975] = 16'h9002;
7135
RsqrteLUT[6976] = 16'h9000;
7136
RsqrteLUT[6977] = 16'h8ff8;
7137
RsqrteLUT[6978] = 16'h8ff0;
7138
RsqrteLUT[6979] = 16'h8fe8;
7139
RsqrteLUT[6980] = 16'h8fe1;
7140
RsqrteLUT[6981] = 16'h8fda;
7141
RsqrteLUT[6982] = 16'h8fd3;
7142
RsqrteLUT[6983] = 16'h8fcc;
7143
RsqrteLUT[6984] = 16'h8fc5;
7144
RsqrteLUT[6985] = 16'h8fbe;
7145
RsqrteLUT[6986] = 16'h8fb8;
7146
RsqrteLUT[6987] = 16'h8fb1;
7147
RsqrteLUT[6988] = 16'h8fab;
7148
RsqrteLUT[6989] = 16'h8fa5;
7149
RsqrteLUT[6990] = 16'h8f9f;
7150
RsqrteLUT[6991] = 16'h8f99;
7151
RsqrteLUT[6992] = 16'h8f93;
7152
RsqrteLUT[6993] = 16'h8f8e;
7153
RsqrteLUT[6994] = 16'h8f88;
7154
RsqrteLUT[6995] = 16'h8f83;
7155
RsqrteLUT[6996] = 16'h8f7d;
7156
RsqrteLUT[6997] = 16'h8f78;
7157
RsqrteLUT[6998] = 16'h8f73;
7158
RsqrteLUT[6999] = 16'h8f6e;
7159
RsqrteLUT[7000] = 16'h8f69;
7160
RsqrteLUT[7001] = 16'h8f64;
7161
RsqrteLUT[7002] = 16'h8f5f;
7162
RsqrteLUT[7003] = 16'h8f5a;
7163
RsqrteLUT[7004] = 16'h8f56;
7164
RsqrteLUT[7005] = 16'h8f51;
7165
RsqrteLUT[7006] = 16'h8f4c;
7166
RsqrteLUT[7007] = 16'h8f48;
7167
RsqrteLUT[7008] = 16'h8f44;
7168
RsqrteLUT[7009] = 16'h8f3f;
7169
RsqrteLUT[7010] = 16'h8f3b;
7170
RsqrteLUT[7011] = 16'h8f37;
7171
RsqrteLUT[7012] = 16'h8f33;
7172
RsqrteLUT[7013] = 16'h8f2f;
7173
RsqrteLUT[7014] = 16'h8f2b;
7174
RsqrteLUT[7015] = 16'h8f27;
7175
RsqrteLUT[7016] = 16'h8f23;
7176
RsqrteLUT[7017] = 16'h8f1f;
7177
RsqrteLUT[7018] = 16'h8f1b;
7178
RsqrteLUT[7019] = 16'h8f17;
7179
RsqrteLUT[7020] = 16'h8f14;
7180
RsqrteLUT[7021] = 16'h8f10;
7181
RsqrteLUT[7022] = 16'h8f0d;
7182
RsqrteLUT[7023] = 16'h8f09;
7183
RsqrteLUT[7024] = 16'h8f06;
7184
RsqrteLUT[7025] = 16'h8f02;
7185
RsqrteLUT[7026] = 16'h8eff;
7186
RsqrteLUT[7027] = 16'h8efb;
7187
RsqrteLUT[7028] = 16'h8ef8;
7188
RsqrteLUT[7029] = 16'h8ef5;
7189
RsqrteLUT[7030] = 16'h8ef2;
7190
RsqrteLUT[7031] = 16'h8eee;
7191
RsqrteLUT[7032] = 16'h8eeb;
7192
RsqrteLUT[7033] = 16'h8ee8;
7193
RsqrteLUT[7034] = 16'h8ee5;
7194
RsqrteLUT[7035] = 16'h8ee2;
7195
RsqrteLUT[7036] = 16'h8edf;
7196
RsqrteLUT[7037] = 16'h8edc;
7197
RsqrteLUT[7038] = 16'h8ed9;
7198
RsqrteLUT[7039] = 16'h8ed6;
7199
RsqrteLUT[7040] = 16'h8ed4;
7200
RsqrteLUT[7041] = 16'h8ece;
7201
RsqrteLUT[7042] = 16'h8ec9;
7202
RsqrteLUT[7043] = 16'h8ec3;
7203
RsqrteLUT[7044] = 16'h8ebe;
7204
RsqrteLUT[7045] = 16'h8eb9;
7205
RsqrteLUT[7046] = 16'h8eb4;
7206
RsqrteLUT[7047] = 16'h8eaf;
7207
RsqrteLUT[7048] = 16'h8eaa;
7208
RsqrteLUT[7049] = 16'h8ea5;
7209
RsqrteLUT[7050] = 16'h8ea1;
7210
RsqrteLUT[7051] = 16'h8e9c;
7211
RsqrteLUT[7052] = 16'h8e98;
7212
RsqrteLUT[7053] = 16'h8e94;
7213
RsqrteLUT[7054] = 16'h8e8f;
7214
RsqrteLUT[7055] = 16'h8e8b;
7215
RsqrteLUT[7056] = 16'h8e87;
7216
RsqrteLUT[7057] = 16'h8e83;
7217
RsqrteLUT[7058] = 16'h8e7f;
7218
RsqrteLUT[7059] = 16'h8e7b;
7219
RsqrteLUT[7060] = 16'h8e78;
7220
RsqrteLUT[7061] = 16'h8e74;
7221
RsqrteLUT[7062] = 16'h8e70;
7222
RsqrteLUT[7063] = 16'h8e6d;
7223
RsqrteLUT[7064] = 16'h8e69;
7224
RsqrteLUT[7065] = 16'h8e66;
7225
RsqrteLUT[7066] = 16'h8e62;
7226
RsqrteLUT[7067] = 16'h8e5f;
7227
RsqrteLUT[7068] = 16'h8e5b;
7228
RsqrteLUT[7069] = 16'h8e58;
7229
RsqrteLUT[7070] = 16'h8e55;
7230
RsqrteLUT[7071] = 16'h8e52;
7231
RsqrteLUT[7072] = 16'h8e4f;
7232
RsqrteLUT[7073] = 16'h8e4c;
7233
RsqrteLUT[7074] = 16'h8e49;
7234
RsqrteLUT[7075] = 16'h8e46;
7235
RsqrteLUT[7076] = 16'h8e43;
7236
RsqrteLUT[7077] = 16'h8e40;
7237
RsqrteLUT[7078] = 16'h8e3d;
7238
RsqrteLUT[7079] = 16'h8e3a;
7239
RsqrteLUT[7080] = 16'h8e38;
7240
RsqrteLUT[7081] = 16'h8e35;
7241
RsqrteLUT[7082] = 16'h8e32;
7242
RsqrteLUT[7083] = 16'h8e2f;
7243
RsqrteLUT[7084] = 16'h8e2d;
7244
RsqrteLUT[7085] = 16'h8e2a;
7245
RsqrteLUT[7086] = 16'h8e28;
7246
RsqrteLUT[7087] = 16'h8e25;
7247
RsqrteLUT[7088] = 16'h8e23;
7248
RsqrteLUT[7089] = 16'h8e20;
7249
RsqrteLUT[7090] = 16'h8e1e;
7250
RsqrteLUT[7091] = 16'h8e1c;
7251
RsqrteLUT[7092] = 16'h8e19;
7252
RsqrteLUT[7093] = 16'h8e17;
7253
RsqrteLUT[7094] = 16'h8e15;
7254
RsqrteLUT[7095] = 16'h8e13;
7255
RsqrteLUT[7096] = 16'h8e10;
7256
RsqrteLUT[7097] = 16'h8e0e;
7257
RsqrteLUT[7098] = 16'h8e0c;
7258
RsqrteLUT[7099] = 16'h8e0a;
7259
RsqrteLUT[7100] = 16'h8e08;
7260
RsqrteLUT[7101] = 16'h8e06;
7261
RsqrteLUT[7102] = 16'h8e04;
7262
RsqrteLUT[7103] = 16'h8e02;
7263
RsqrteLUT[7104] = 16'h8e00;
7264
RsqrteLUT[7105] = 16'h8df8;
7265
RsqrteLUT[7106] = 16'h8df0;
7266
RsqrteLUT[7107] = 16'h8de8;
7267
RsqrteLUT[7108] = 16'h8de1;
7268
RsqrteLUT[7109] = 16'h8dda;
7269
RsqrteLUT[7110] = 16'h8dd3;
7270
RsqrteLUT[7111] = 16'h8dcc;
7271
RsqrteLUT[7112] = 16'h8dc5;
7272
RsqrteLUT[7113] = 16'h8dbe;
7273
RsqrteLUT[7114] = 16'h8db8;
7274
RsqrteLUT[7115] = 16'h8db1;
7275
RsqrteLUT[7116] = 16'h8dab;
7276
RsqrteLUT[7117] = 16'h8da5;
7277
RsqrteLUT[7118] = 16'h8d9f;
7278
RsqrteLUT[7119] = 16'h8d99;
7279
RsqrteLUT[7120] = 16'h8d93;
7280
RsqrteLUT[7121] = 16'h8d8e;
7281
RsqrteLUT[7122] = 16'h8d88;
7282
RsqrteLUT[7123] = 16'h8d83;
7283
RsqrteLUT[7124] = 16'h8d7d;
7284
RsqrteLUT[7125] = 16'h8d78;
7285
RsqrteLUT[7126] = 16'h8d73;
7286
RsqrteLUT[7127] = 16'h8d6e;
7287
RsqrteLUT[7128] = 16'h8d69;
7288
RsqrteLUT[7129] = 16'h8d64;
7289
RsqrteLUT[7130] = 16'h8d5f;
7290
RsqrteLUT[7131] = 16'h8d5a;
7291
RsqrteLUT[7132] = 16'h8d56;
7292
RsqrteLUT[7133] = 16'h8d51;
7293
RsqrteLUT[7134] = 16'h8d4c;
7294
RsqrteLUT[7135] = 16'h8d48;
7295
RsqrteLUT[7136] = 16'h8d44;
7296
RsqrteLUT[7137] = 16'h8d3f;
7297
RsqrteLUT[7138] = 16'h8d3b;
7298
RsqrteLUT[7139] = 16'h8d37;
7299
RsqrteLUT[7140] = 16'h8d33;
7300
RsqrteLUT[7141] = 16'h8d2f;
7301
RsqrteLUT[7142] = 16'h8d2b;
7302
RsqrteLUT[7143] = 16'h8d27;
7303
RsqrteLUT[7144] = 16'h8d23;
7304
RsqrteLUT[7145] = 16'h8d1f;
7305
RsqrteLUT[7146] = 16'h8d1b;
7306
RsqrteLUT[7147] = 16'h8d17;
7307
RsqrteLUT[7148] = 16'h8d14;
7308
RsqrteLUT[7149] = 16'h8d10;
7309
RsqrteLUT[7150] = 16'h8d0d;
7310
RsqrteLUT[7151] = 16'h8d09;
7311
RsqrteLUT[7152] = 16'h8d06;
7312
RsqrteLUT[7153] = 16'h8d02;
7313
RsqrteLUT[7154] = 16'h8cff;
7314
RsqrteLUT[7155] = 16'h8cfb;
7315
RsqrteLUT[7156] = 16'h8cf8;
7316
RsqrteLUT[7157] = 16'h8cf5;
7317
RsqrteLUT[7158] = 16'h8cf2;
7318
RsqrteLUT[7159] = 16'h8cee;
7319
RsqrteLUT[7160] = 16'h8ceb;
7320
RsqrteLUT[7161] = 16'h8ce8;
7321
RsqrteLUT[7162] = 16'h8ce5;
7322
RsqrteLUT[7163] = 16'h8ce2;
7323
RsqrteLUT[7164] = 16'h8cdf;
7324
RsqrteLUT[7165] = 16'h8cdc;
7325
RsqrteLUT[7166] = 16'h8cd9;
7326
RsqrteLUT[7167] = 16'h8cd6;
7327
RsqrteLUT[7168] = 16'h8cd4;
7328
RsqrteLUT[7169] = 16'h8cce;
7329
RsqrteLUT[7170] = 16'h8cc9;
7330
RsqrteLUT[7171] = 16'h8cc3;
7331
RsqrteLUT[7172] = 16'h8cbe;
7332
RsqrteLUT[7173] = 16'h8cb9;
7333
RsqrteLUT[7174] = 16'h8cb4;
7334
RsqrteLUT[7175] = 16'h8caf;
7335
RsqrteLUT[7176] = 16'h8caa;
7336
RsqrteLUT[7177] = 16'h8ca5;
7337
RsqrteLUT[7178] = 16'h8ca1;
7338
RsqrteLUT[7179] = 16'h8c9c;
7339
RsqrteLUT[7180] = 16'h8c98;
7340
RsqrteLUT[7181] = 16'h8c94;
7341
RsqrteLUT[7182] = 16'h8c8f;
7342
RsqrteLUT[7183] = 16'h8c8b;
7343
RsqrteLUT[7184] = 16'h8c87;
7344
RsqrteLUT[7185] = 16'h8c83;
7345
RsqrteLUT[7186] = 16'h8c7f;
7346
RsqrteLUT[7187] = 16'h8c7b;
7347
RsqrteLUT[7188] = 16'h8c78;
7348
RsqrteLUT[7189] = 16'h8c74;
7349
RsqrteLUT[7190] = 16'h8c70;
7350
RsqrteLUT[7191] = 16'h8c6d;
7351
RsqrteLUT[7192] = 16'h8c69;
7352
RsqrteLUT[7193] = 16'h8c66;
7353
RsqrteLUT[7194] = 16'h8c62;
7354
RsqrteLUT[7195] = 16'h8c5f;
7355
RsqrteLUT[7196] = 16'h8c5b;
7356
RsqrteLUT[7197] = 16'h8c58;
7357
RsqrteLUT[7198] = 16'h8c55;
7358
RsqrteLUT[7199] = 16'h8c52;
7359
RsqrteLUT[7200] = 16'h8c4f;
7360
RsqrteLUT[7201] = 16'h8c4c;
7361
RsqrteLUT[7202] = 16'h8c49;
7362
RsqrteLUT[7203] = 16'h8c46;
7363
RsqrteLUT[7204] = 16'h8c43;
7364
RsqrteLUT[7205] = 16'h8c40;
7365
RsqrteLUT[7206] = 16'h8c3d;
7366
RsqrteLUT[7207] = 16'h8c3a;
7367
RsqrteLUT[7208] = 16'h8c38;
7368
RsqrteLUT[7209] = 16'h8c35;
7369
RsqrteLUT[7210] = 16'h8c32;
7370
RsqrteLUT[7211] = 16'h8c2f;
7371
RsqrteLUT[7212] = 16'h8c2d;
7372
RsqrteLUT[7213] = 16'h8c2a;
7373
RsqrteLUT[7214] = 16'h8c28;
7374
RsqrteLUT[7215] = 16'h8c25;
7375
RsqrteLUT[7216] = 16'h8c23;
7376
RsqrteLUT[7217] = 16'h8c20;
7377
RsqrteLUT[7218] = 16'h8c1e;
7378
RsqrteLUT[7219] = 16'h8c1c;
7379
RsqrteLUT[7220] = 16'h8c19;
7380
RsqrteLUT[7221] = 16'h8c17;
7381
RsqrteLUT[7222] = 16'h8c15;
7382
RsqrteLUT[7223] = 16'h8c13;
7383
RsqrteLUT[7224] = 16'h8c10;
7384
RsqrteLUT[7225] = 16'h8c0e;
7385
RsqrteLUT[7226] = 16'h8c0c;
7386
RsqrteLUT[7227] = 16'h8c0a;
7387
RsqrteLUT[7228] = 16'h8c08;
7388
RsqrteLUT[7229] = 16'h8c06;
7389
RsqrteLUT[7230] = 16'h8c04;
7390
RsqrteLUT[7231] = 16'h8c02;
7391
RsqrteLUT[7232] = 16'h8c00;
7392
RsqrteLUT[7233] = 16'h8bf8;
7393
RsqrteLUT[7234] = 16'h8bf0;
7394
RsqrteLUT[7235] = 16'h8be8;
7395
RsqrteLUT[7236] = 16'h8be1;
7396
RsqrteLUT[7237] = 16'h8bda;
7397
RsqrteLUT[7238] = 16'h8bd3;
7398
RsqrteLUT[7239] = 16'h8bcc;
7399
RsqrteLUT[7240] = 16'h8bc5;
7400
RsqrteLUT[7241] = 16'h8bbe;
7401
RsqrteLUT[7242] = 16'h8bb8;
7402
RsqrteLUT[7243] = 16'h8bb1;
7403
RsqrteLUT[7244] = 16'h8bab;
7404
RsqrteLUT[7245] = 16'h8ba5;
7405
RsqrteLUT[7246] = 16'h8b9f;
7406
RsqrteLUT[7247] = 16'h8b99;
7407
RsqrteLUT[7248] = 16'h8b93;
7408
RsqrteLUT[7249] = 16'h8b8e;
7409
RsqrteLUT[7250] = 16'h8b88;
7410
RsqrteLUT[7251] = 16'h8b83;
7411
RsqrteLUT[7252] = 16'h8b7d;
7412
RsqrteLUT[7253] = 16'h8b78;
7413
RsqrteLUT[7254] = 16'h8b73;
7414
RsqrteLUT[7255] = 16'h8b6e;
7415
RsqrteLUT[7256] = 16'h8b69;
7416
RsqrteLUT[7257] = 16'h8b64;
7417
RsqrteLUT[7258] = 16'h8b5f;
7418
RsqrteLUT[7259] = 16'h8b5a;
7419
RsqrteLUT[7260] = 16'h8b56;
7420
RsqrteLUT[7261] = 16'h8b51;
7421
RsqrteLUT[7262] = 16'h8b4c;
7422
RsqrteLUT[7263] = 16'h8b48;
7423
RsqrteLUT[7264] = 16'h8b44;
7424
RsqrteLUT[7265] = 16'h8b3f;
7425
RsqrteLUT[7266] = 16'h8b3b;
7426
RsqrteLUT[7267] = 16'h8b37;
7427
RsqrteLUT[7268] = 16'h8b33;
7428
RsqrteLUT[7269] = 16'h8b2f;
7429
RsqrteLUT[7270] = 16'h8b2b;
7430
RsqrteLUT[7271] = 16'h8b27;
7431
RsqrteLUT[7272] = 16'h8b23;
7432
RsqrteLUT[7273] = 16'h8b1f;
7433
RsqrteLUT[7274] = 16'h8b1b;
7434
RsqrteLUT[7275] = 16'h8b17;
7435
RsqrteLUT[7276] = 16'h8b14;
7436
RsqrteLUT[7277] = 16'h8b10;
7437
RsqrteLUT[7278] = 16'h8b0d;
7438
RsqrteLUT[7279] = 16'h8b09;
7439
RsqrteLUT[7280] = 16'h8b06;
7440
RsqrteLUT[7281] = 16'h8b02;
7441
RsqrteLUT[7282] = 16'h8aff;
7442
RsqrteLUT[7283] = 16'h8afb;
7443
RsqrteLUT[7284] = 16'h8af8;
7444
RsqrteLUT[7285] = 16'h8af5;
7445
RsqrteLUT[7286] = 16'h8af2;
7446
RsqrteLUT[7287] = 16'h8aee;
7447
RsqrteLUT[7288] = 16'h8aeb;
7448
RsqrteLUT[7289] = 16'h8ae8;
7449
RsqrteLUT[7290] = 16'h8ae5;
7450
RsqrteLUT[7291] = 16'h8ae2;
7451
RsqrteLUT[7292] = 16'h8adf;
7452
RsqrteLUT[7293] = 16'h8adc;
7453
RsqrteLUT[7294] = 16'h8ad9;
7454
RsqrteLUT[7295] = 16'h8ad6;
7455
RsqrteLUT[7296] = 16'h8ad4;
7456
RsqrteLUT[7297] = 16'h8ace;
7457
RsqrteLUT[7298] = 16'h8ac9;
7458
RsqrteLUT[7299] = 16'h8ac3;
7459
RsqrteLUT[7300] = 16'h8abe;
7460
RsqrteLUT[7301] = 16'h8ab9;
7461
RsqrteLUT[7302] = 16'h8ab4;
7462
RsqrteLUT[7303] = 16'h8aaf;
7463
RsqrteLUT[7304] = 16'h8aaa;
7464
RsqrteLUT[7305] = 16'h8aa5;
7465
RsqrteLUT[7306] = 16'h8aa1;
7466
RsqrteLUT[7307] = 16'h8a9c;
7467
RsqrteLUT[7308] = 16'h8a98;
7468
RsqrteLUT[7309] = 16'h8a94;
7469
RsqrteLUT[7310] = 16'h8a8f;
7470
RsqrteLUT[7311] = 16'h8a8b;
7471
RsqrteLUT[7312] = 16'h8a87;
7472
RsqrteLUT[7313] = 16'h8a83;
7473
RsqrteLUT[7314] = 16'h8a7f;
7474
RsqrteLUT[7315] = 16'h8a7b;
7475
RsqrteLUT[7316] = 16'h8a78;
7476
RsqrteLUT[7317] = 16'h8a74;
7477
RsqrteLUT[7318] = 16'h8a70;
7478
RsqrteLUT[7319] = 16'h8a6d;
7479
RsqrteLUT[7320] = 16'h8a69;
7480
RsqrteLUT[7321] = 16'h8a66;
7481
RsqrteLUT[7322] = 16'h8a62;
7482
RsqrteLUT[7323] = 16'h8a5f;
7483
RsqrteLUT[7324] = 16'h8a5b;
7484
RsqrteLUT[7325] = 16'h8a58;
7485
RsqrteLUT[7326] = 16'h8a55;
7486
RsqrteLUT[7327] = 16'h8a52;
7487
RsqrteLUT[7328] = 16'h8a4f;
7488
RsqrteLUT[7329] = 16'h8a4c;
7489
RsqrteLUT[7330] = 16'h8a49;
7490
RsqrteLUT[7331] = 16'h8a46;
7491
RsqrteLUT[7332] = 16'h8a43;
7492
RsqrteLUT[7333] = 16'h8a40;
7493
RsqrteLUT[7334] = 16'h8a3d;
7494
RsqrteLUT[7335] = 16'h8a3a;
7495
RsqrteLUT[7336] = 16'h8a38;
7496
RsqrteLUT[7337] = 16'h8a35;
7497
RsqrteLUT[7338] = 16'h8a32;
7498
RsqrteLUT[7339] = 16'h8a2f;
7499
RsqrteLUT[7340] = 16'h8a2d;
7500
RsqrteLUT[7341] = 16'h8a2a;
7501
RsqrteLUT[7342] = 16'h8a28;
7502
RsqrteLUT[7343] = 16'h8a25;
7503
RsqrteLUT[7344] = 16'h8a23;
7504
RsqrteLUT[7345] = 16'h8a20;
7505
RsqrteLUT[7346] = 16'h8a1e;
7506
RsqrteLUT[7347] = 16'h8a1c;
7507
RsqrteLUT[7348] = 16'h8a19;
7508
RsqrteLUT[7349] = 16'h8a17;
7509
RsqrteLUT[7350] = 16'h8a15;
7510
RsqrteLUT[7351] = 16'h8a13;
7511
RsqrteLUT[7352] = 16'h8a10;
7512
RsqrteLUT[7353] = 16'h8a0e;
7513
RsqrteLUT[7354] = 16'h8a0c;
7514
RsqrteLUT[7355] = 16'h8a0a;
7515
RsqrteLUT[7356] = 16'h8a08;
7516
RsqrteLUT[7357] = 16'h8a06;
7517
RsqrteLUT[7358] = 16'h8a04;
7518
RsqrteLUT[7359] = 16'h8a02;
7519
RsqrteLUT[7360] = 16'h8a00;
7520
RsqrteLUT[7361] = 16'h89f8;
7521
RsqrteLUT[7362] = 16'h89f0;
7522
RsqrteLUT[7363] = 16'h89e8;
7523
RsqrteLUT[7364] = 16'h89e1;
7524
RsqrteLUT[7365] = 16'h89da;
7525
RsqrteLUT[7366] = 16'h89d3;
7526
RsqrteLUT[7367] = 16'h89cc;
7527
RsqrteLUT[7368] = 16'h89c5;
7528
RsqrteLUT[7369] = 16'h89be;
7529
RsqrteLUT[7370] = 16'h89b8;
7530
RsqrteLUT[7371] = 16'h89b1;
7531
RsqrteLUT[7372] = 16'h89ab;
7532
RsqrteLUT[7373] = 16'h89a5;
7533
RsqrteLUT[7374] = 16'h899f;
7534
RsqrteLUT[7375] = 16'h8999;
7535
RsqrteLUT[7376] = 16'h8993;
7536
RsqrteLUT[7377] = 16'h898e;
7537
RsqrteLUT[7378] = 16'h8988;
7538
RsqrteLUT[7379] = 16'h8983;
7539
RsqrteLUT[7380] = 16'h897d;
7540
RsqrteLUT[7381] = 16'h8978;
7541
RsqrteLUT[7382] = 16'h8973;
7542
RsqrteLUT[7383] = 16'h896e;
7543
RsqrteLUT[7384] = 16'h8969;
7544
RsqrteLUT[7385] = 16'h8964;
7545
RsqrteLUT[7386] = 16'h895f;
7546
RsqrteLUT[7387] = 16'h895a;
7547
RsqrteLUT[7388] = 16'h8956;
7548
RsqrteLUT[7389] = 16'h8951;
7549
RsqrteLUT[7390] = 16'h894c;
7550
RsqrteLUT[7391] = 16'h8948;
7551
RsqrteLUT[7392] = 16'h8944;
7552
RsqrteLUT[7393] = 16'h893f;
7553
RsqrteLUT[7394] = 16'h893b;
7554
RsqrteLUT[7395] = 16'h8937;
7555
RsqrteLUT[7396] = 16'h8933;
7556
RsqrteLUT[7397] = 16'h892f;
7557
RsqrteLUT[7398] = 16'h892b;
7558
RsqrteLUT[7399] = 16'h8927;
7559
RsqrteLUT[7400] = 16'h8923;
7560
RsqrteLUT[7401] = 16'h891f;
7561
RsqrteLUT[7402] = 16'h891b;
7562
RsqrteLUT[7403] = 16'h8917;
7563
RsqrteLUT[7404] = 16'h8914;
7564
RsqrteLUT[7405] = 16'h8910;
7565
RsqrteLUT[7406] = 16'h890d;
7566
RsqrteLUT[7407] = 16'h8909;
7567
RsqrteLUT[7408] = 16'h8906;
7568
RsqrteLUT[7409] = 16'h8902;
7569
RsqrteLUT[7410] = 16'h88ff;
7570
RsqrteLUT[7411] = 16'h88fb;
7571
RsqrteLUT[7412] = 16'h88f8;
7572
RsqrteLUT[7413] = 16'h88f5;
7573
RsqrteLUT[7414] = 16'h88f2;
7574
RsqrteLUT[7415] = 16'h88ee;
7575
RsqrteLUT[7416] = 16'h88eb;
7576
RsqrteLUT[7417] = 16'h88e8;
7577
RsqrteLUT[7418] = 16'h88e5;
7578
RsqrteLUT[7419] = 16'h88e2;
7579
RsqrteLUT[7420] = 16'h88df;
7580
RsqrteLUT[7421] = 16'h88dc;
7581
RsqrteLUT[7422] = 16'h88d9;
7582
RsqrteLUT[7423] = 16'h88d6;
7583
RsqrteLUT[7424] = 16'h88d4;
7584
RsqrteLUT[7425] = 16'h88ce;
7585
RsqrteLUT[7426] = 16'h88c9;
7586
RsqrteLUT[7427] = 16'h88c3;
7587
RsqrteLUT[7428] = 16'h88be;
7588
RsqrteLUT[7429] = 16'h88b9;
7589
RsqrteLUT[7430] = 16'h88b4;
7590
RsqrteLUT[7431] = 16'h88af;
7591
RsqrteLUT[7432] = 16'h88aa;
7592
RsqrteLUT[7433] = 16'h88a5;
7593
RsqrteLUT[7434] = 16'h88a1;
7594
RsqrteLUT[7435] = 16'h889c;
7595
RsqrteLUT[7436] = 16'h8898;
7596
RsqrteLUT[7437] = 16'h8894;
7597
RsqrteLUT[7438] = 16'h888f;
7598
RsqrteLUT[7439] = 16'h888b;
7599
RsqrteLUT[7440] = 16'h8887;
7600
RsqrteLUT[7441] = 16'h8883;
7601
RsqrteLUT[7442] = 16'h887f;
7602
RsqrteLUT[7443] = 16'h887b;
7603
RsqrteLUT[7444] = 16'h8878;
7604
RsqrteLUT[7445] = 16'h8874;
7605
RsqrteLUT[7446] = 16'h8870;
7606
RsqrteLUT[7447] = 16'h886d;
7607
RsqrteLUT[7448] = 16'h8869;
7608
RsqrteLUT[7449] = 16'h8866;
7609
RsqrteLUT[7450] = 16'h8862;
7610
RsqrteLUT[7451] = 16'h885f;
7611
RsqrteLUT[7452] = 16'h885b;
7612
RsqrteLUT[7453] = 16'h8858;
7613
RsqrteLUT[7454] = 16'h8855;
7614
RsqrteLUT[7455] = 16'h8852;
7615
RsqrteLUT[7456] = 16'h884f;
7616
RsqrteLUT[7457] = 16'h884c;
7617
RsqrteLUT[7458] = 16'h8849;
7618
RsqrteLUT[7459] = 16'h8846;
7619
RsqrteLUT[7460] = 16'h8843;
7620
RsqrteLUT[7461] = 16'h8840;
7621
RsqrteLUT[7462] = 16'h883d;
7622
RsqrteLUT[7463] = 16'h883a;
7623
RsqrteLUT[7464] = 16'h8838;
7624
RsqrteLUT[7465] = 16'h8835;
7625
RsqrteLUT[7466] = 16'h8832;
7626
RsqrteLUT[7467] = 16'h882f;
7627
RsqrteLUT[7468] = 16'h882d;
7628
RsqrteLUT[7469] = 16'h882a;
7629
RsqrteLUT[7470] = 16'h8828;
7630
RsqrteLUT[7471] = 16'h8825;
7631
RsqrteLUT[7472] = 16'h8823;
7632
RsqrteLUT[7473] = 16'h8820;
7633
RsqrteLUT[7474] = 16'h881e;
7634
RsqrteLUT[7475] = 16'h881c;
7635
RsqrteLUT[7476] = 16'h8819;
7636
RsqrteLUT[7477] = 16'h8817;
7637
RsqrteLUT[7478] = 16'h8815;
7638
RsqrteLUT[7479] = 16'h8813;
7639
RsqrteLUT[7480] = 16'h8810;
7640
RsqrteLUT[7481] = 16'h880e;
7641
RsqrteLUT[7482] = 16'h880c;
7642
RsqrteLUT[7483] = 16'h880a;
7643
RsqrteLUT[7484] = 16'h8808;
7644
RsqrteLUT[7485] = 16'h8806;
7645
RsqrteLUT[7486] = 16'h8804;
7646
RsqrteLUT[7487] = 16'h8802;
7647
RsqrteLUT[7488] = 16'h8800;
7648
RsqrteLUT[7489] = 16'h87f8;
7649
RsqrteLUT[7490] = 16'h87f0;
7650
RsqrteLUT[7491] = 16'h87e8;
7651
RsqrteLUT[7492] = 16'h87e1;
7652
RsqrteLUT[7493] = 16'h87da;
7653
RsqrteLUT[7494] = 16'h87d3;
7654
RsqrteLUT[7495] = 16'h87cc;
7655
RsqrteLUT[7496] = 16'h87c5;
7656
RsqrteLUT[7497] = 16'h87be;
7657
RsqrteLUT[7498] = 16'h87b8;
7658
RsqrteLUT[7499] = 16'h87b1;
7659
RsqrteLUT[7500] = 16'h87ab;
7660
RsqrteLUT[7501] = 16'h87a5;
7661
RsqrteLUT[7502] = 16'h879f;
7662
RsqrteLUT[7503] = 16'h8799;
7663
RsqrteLUT[7504] = 16'h8793;
7664
RsqrteLUT[7505] = 16'h878e;
7665
RsqrteLUT[7506] = 16'h8788;
7666
RsqrteLUT[7507] = 16'h8783;
7667
RsqrteLUT[7508] = 16'h877d;
7668
RsqrteLUT[7509] = 16'h8778;
7669
RsqrteLUT[7510] = 16'h8773;
7670
RsqrteLUT[7511] = 16'h876e;
7671
RsqrteLUT[7512] = 16'h8769;
7672
RsqrteLUT[7513] = 16'h8764;
7673
RsqrteLUT[7514] = 16'h875f;
7674
RsqrteLUT[7515] = 16'h875a;
7675
RsqrteLUT[7516] = 16'h8756;
7676
RsqrteLUT[7517] = 16'h8751;
7677
RsqrteLUT[7518] = 16'h874c;
7678
RsqrteLUT[7519] = 16'h8748;
7679
RsqrteLUT[7520] = 16'h8744;
7680
RsqrteLUT[7521] = 16'h873f;
7681
RsqrteLUT[7522] = 16'h873b;
7682
RsqrteLUT[7523] = 16'h8737;
7683
RsqrteLUT[7524] = 16'h8733;
7684
RsqrteLUT[7525] = 16'h872f;
7685
RsqrteLUT[7526] = 16'h872b;
7686
RsqrteLUT[7527] = 16'h8727;
7687
RsqrteLUT[7528] = 16'h8723;
7688
RsqrteLUT[7529] = 16'h871f;
7689
RsqrteLUT[7530] = 16'h871b;
7690
RsqrteLUT[7531] = 16'h8717;
7691
RsqrteLUT[7532] = 16'h8714;
7692
RsqrteLUT[7533] = 16'h8710;
7693
RsqrteLUT[7534] = 16'h870d;
7694
RsqrteLUT[7535] = 16'h8709;
7695
RsqrteLUT[7536] = 16'h8706;
7696
RsqrteLUT[7537] = 16'h8702;
7697
RsqrteLUT[7538] = 16'h86ff;
7698
RsqrteLUT[7539] = 16'h86fb;
7699
RsqrteLUT[7540] = 16'h86f8;
7700
RsqrteLUT[7541] = 16'h86f5;
7701
RsqrteLUT[7542] = 16'h86f2;
7702
RsqrteLUT[7543] = 16'h86ee;
7703
RsqrteLUT[7544] = 16'h86eb;
7704
RsqrteLUT[7545] = 16'h86e8;
7705
RsqrteLUT[7546] = 16'h86e5;
7706
RsqrteLUT[7547] = 16'h86e2;
7707
RsqrteLUT[7548] = 16'h86df;
7708
RsqrteLUT[7549] = 16'h86dc;
7709
RsqrteLUT[7550] = 16'h86d9;
7710
RsqrteLUT[7551] = 16'h86d6;
7711
RsqrteLUT[7552] = 16'h86d4;
7712
RsqrteLUT[7553] = 16'h86ce;
7713
RsqrteLUT[7554] = 16'h86c9;
7714
RsqrteLUT[7555] = 16'h86c3;
7715
RsqrteLUT[7556] = 16'h86be;
7716
RsqrteLUT[7557] = 16'h86b9;
7717
RsqrteLUT[7558] = 16'h86b4;
7718
RsqrteLUT[7559] = 16'h86af;
7719
RsqrteLUT[7560] = 16'h86aa;
7720
RsqrteLUT[7561] = 16'h86a5;
7721
RsqrteLUT[7562] = 16'h86a1;
7722
RsqrteLUT[7563] = 16'h869c;
7723
RsqrteLUT[7564] = 16'h8698;
7724
RsqrteLUT[7565] = 16'h8694;
7725
RsqrteLUT[7566] = 16'h868f;
7726
RsqrteLUT[7567] = 16'h868b;
7727
RsqrteLUT[7568] = 16'h8687;
7728
RsqrteLUT[7569] = 16'h8683;
7729
RsqrteLUT[7570] = 16'h867f;
7730
RsqrteLUT[7571] = 16'h867b;
7731
RsqrteLUT[7572] = 16'h8678;
7732
RsqrteLUT[7573] = 16'h8674;
7733
RsqrteLUT[7574] = 16'h8670;
7734
RsqrteLUT[7575] = 16'h866d;
7735
RsqrteLUT[7576] = 16'h8669;
7736
RsqrteLUT[7577] = 16'h8666;
7737
RsqrteLUT[7578] = 16'h8662;
7738
RsqrteLUT[7579] = 16'h865f;
7739
RsqrteLUT[7580] = 16'h865b;
7740
RsqrteLUT[7581] = 16'h8658;
7741
RsqrteLUT[7582] = 16'h8655;
7742
RsqrteLUT[7583] = 16'h8652;
7743
RsqrteLUT[7584] = 16'h864f;
7744
RsqrteLUT[7585] = 16'h864c;
7745
RsqrteLUT[7586] = 16'h8649;
7746
RsqrteLUT[7587] = 16'h8646;
7747
RsqrteLUT[7588] = 16'h8643;
7748
RsqrteLUT[7589] = 16'h8640;
7749
RsqrteLUT[7590] = 16'h863d;
7750
RsqrteLUT[7591] = 16'h863a;
7751
RsqrteLUT[7592] = 16'h8638;
7752
RsqrteLUT[7593] = 16'h8635;
7753
RsqrteLUT[7594] = 16'h8632;
7754
RsqrteLUT[7595] = 16'h862f;
7755
RsqrteLUT[7596] = 16'h862d;
7756
RsqrteLUT[7597] = 16'h862a;
7757
RsqrteLUT[7598] = 16'h8628;
7758
RsqrteLUT[7599] = 16'h8625;
7759
RsqrteLUT[7600] = 16'h8623;
7760
RsqrteLUT[7601] = 16'h8620;
7761
RsqrteLUT[7602] = 16'h861e;
7762
RsqrteLUT[7603] = 16'h861c;
7763
RsqrteLUT[7604] = 16'h8619;
7764
RsqrteLUT[7605] = 16'h8617;
7765
RsqrteLUT[7606] = 16'h8615;
7766
RsqrteLUT[7607] = 16'h8613;
7767
RsqrteLUT[7608] = 16'h8610;
7768
RsqrteLUT[7609] = 16'h860e;
7769
RsqrteLUT[7610] = 16'h860c;
7770
RsqrteLUT[7611] = 16'h860a;
7771
RsqrteLUT[7612] = 16'h8608;
7772
RsqrteLUT[7613] = 16'h8606;
7773
RsqrteLUT[7614] = 16'h8604;
7774
RsqrteLUT[7615] = 16'h8602;
7775
RsqrteLUT[7616] = 16'h8600;
7776
RsqrteLUT[7617] = 16'h85f8;
7777
RsqrteLUT[7618] = 16'h85f0;
7778
RsqrteLUT[7619] = 16'h85e8;
7779
RsqrteLUT[7620] = 16'h85e1;
7780
RsqrteLUT[7621] = 16'h85da;
7781
RsqrteLUT[7622] = 16'h85d3;
7782
RsqrteLUT[7623] = 16'h85cc;
7783
RsqrteLUT[7624] = 16'h85c5;
7784
RsqrteLUT[7625] = 16'h85be;
7785
RsqrteLUT[7626] = 16'h85b8;
7786
RsqrteLUT[7627] = 16'h85b1;
7787
RsqrteLUT[7628] = 16'h85ab;
7788
RsqrteLUT[7629] = 16'h85a5;
7789
RsqrteLUT[7630] = 16'h859f;
7790
RsqrteLUT[7631] = 16'h8599;
7791
RsqrteLUT[7632] = 16'h8593;
7792
RsqrteLUT[7633] = 16'h858e;
7793
RsqrteLUT[7634] = 16'h8588;
7794
RsqrteLUT[7635] = 16'h8583;
7795
RsqrteLUT[7636] = 16'h857d;
7796
RsqrteLUT[7637] = 16'h8578;
7797
RsqrteLUT[7638] = 16'h8573;
7798
RsqrteLUT[7639] = 16'h856e;
7799
RsqrteLUT[7640] = 16'h8569;
7800
RsqrteLUT[7641] = 16'h8564;
7801
RsqrteLUT[7642] = 16'h855f;
7802
RsqrteLUT[7643] = 16'h855a;
7803
RsqrteLUT[7644] = 16'h8556;
7804
RsqrteLUT[7645] = 16'h8551;
7805
RsqrteLUT[7646] = 16'h854c;
7806
RsqrteLUT[7647] = 16'h8548;
7807
RsqrteLUT[7648] = 16'h8544;
7808
RsqrteLUT[7649] = 16'h853f;
7809
RsqrteLUT[7650] = 16'h853b;
7810
RsqrteLUT[7651] = 16'h8537;
7811
RsqrteLUT[7652] = 16'h8533;
7812
RsqrteLUT[7653] = 16'h852f;
7813
RsqrteLUT[7654] = 16'h852b;
7814
RsqrteLUT[7655] = 16'h8527;
7815
RsqrteLUT[7656] = 16'h8523;
7816
RsqrteLUT[7657] = 16'h851f;
7817
RsqrteLUT[7658] = 16'h851b;
7818
RsqrteLUT[7659] = 16'h8517;
7819
RsqrteLUT[7660] = 16'h8514;
7820
RsqrteLUT[7661] = 16'h8510;
7821
RsqrteLUT[7662] = 16'h850d;
7822
RsqrteLUT[7663] = 16'h8509;
7823
RsqrteLUT[7664] = 16'h8506;
7824
RsqrteLUT[7665] = 16'h8502;
7825
RsqrteLUT[7666] = 16'h84ff;
7826
RsqrteLUT[7667] = 16'h84fb;
7827
RsqrteLUT[7668] = 16'h84f8;
7828
RsqrteLUT[7669] = 16'h84f5;
7829
RsqrteLUT[7670] = 16'h84f2;
7830
RsqrteLUT[7671] = 16'h84ee;
7831
RsqrteLUT[7672] = 16'h84eb;
7832
RsqrteLUT[7673] = 16'h84e8;
7833
RsqrteLUT[7674] = 16'h84e5;
7834
RsqrteLUT[7675] = 16'h84e2;
7835
RsqrteLUT[7676] = 16'h84df;
7836
RsqrteLUT[7677] = 16'h84dc;
7837
RsqrteLUT[7678] = 16'h84d9;
7838
RsqrteLUT[7679] = 16'h84d6;
7839
RsqrteLUT[7680] = 16'h84d4;
7840
RsqrteLUT[7681] = 16'h84ce;
7841
RsqrteLUT[7682] = 16'h84c9;
7842
RsqrteLUT[7683] = 16'h84c3;
7843
RsqrteLUT[7684] = 16'h84be;
7844
RsqrteLUT[7685] = 16'h84b9;
7845
RsqrteLUT[7686] = 16'h84b4;
7846
RsqrteLUT[7687] = 16'h84af;
7847
RsqrteLUT[7688] = 16'h84aa;
7848
RsqrteLUT[7689] = 16'h84a5;
7849
RsqrteLUT[7690] = 16'h84a1;
7850
RsqrteLUT[7691] = 16'h849c;
7851
RsqrteLUT[7692] = 16'h8498;
7852
RsqrteLUT[7693] = 16'h8494;
7853
RsqrteLUT[7694] = 16'h848f;
7854
RsqrteLUT[7695] = 16'h848b;
7855
RsqrteLUT[7696] = 16'h8487;
7856
RsqrteLUT[7697] = 16'h8483;
7857
RsqrteLUT[7698] = 16'h847f;
7858
RsqrteLUT[7699] = 16'h847b;
7859
RsqrteLUT[7700] = 16'h8478;
7860
RsqrteLUT[7701] = 16'h8474;
7861
RsqrteLUT[7702] = 16'h8470;
7862
RsqrteLUT[7703] = 16'h846d;
7863
RsqrteLUT[7704] = 16'h8469;
7864
RsqrteLUT[7705] = 16'h8466;
7865
RsqrteLUT[7706] = 16'h8462;
7866
RsqrteLUT[7707] = 16'h845f;
7867
RsqrteLUT[7708] = 16'h845b;
7868
RsqrteLUT[7709] = 16'h8458;
7869
RsqrteLUT[7710] = 16'h8455;
7870
RsqrteLUT[7711] = 16'h8452;
7871
RsqrteLUT[7712] = 16'h844f;
7872
RsqrteLUT[7713] = 16'h844c;
7873
RsqrteLUT[7714] = 16'h8449;
7874
RsqrteLUT[7715] = 16'h8446;
7875
RsqrteLUT[7716] = 16'h8443;
7876
RsqrteLUT[7717] = 16'h8440;
7877
RsqrteLUT[7718] = 16'h843d;
7878
RsqrteLUT[7719] = 16'h843a;
7879
RsqrteLUT[7720] = 16'h8438;
7880
RsqrteLUT[7721] = 16'h8435;
7881
RsqrteLUT[7722] = 16'h8432;
7882
RsqrteLUT[7723] = 16'h842f;
7883
RsqrteLUT[7724] = 16'h842d;
7884
RsqrteLUT[7725] = 16'h842a;
7885
RsqrteLUT[7726] = 16'h8428;
7886
RsqrteLUT[7727] = 16'h8425;
7887
RsqrteLUT[7728] = 16'h8423;
7888
RsqrteLUT[7729] = 16'h8420;
7889
RsqrteLUT[7730] = 16'h841e;
7890
RsqrteLUT[7731] = 16'h841c;
7891
RsqrteLUT[7732] = 16'h8419;
7892
RsqrteLUT[7733] = 16'h8417;
7893
RsqrteLUT[7734] = 16'h8415;
7894
RsqrteLUT[7735] = 16'h8413;
7895
RsqrteLUT[7736] = 16'h8410;
7896
RsqrteLUT[7737] = 16'h840e;
7897
RsqrteLUT[7738] = 16'h840c;
7898
RsqrteLUT[7739] = 16'h840a;
7899
RsqrteLUT[7740] = 16'h8408;
7900
RsqrteLUT[7741] = 16'h8406;
7901
RsqrteLUT[7742] = 16'h8404;
7902
RsqrteLUT[7743] = 16'h8402;
7903
RsqrteLUT[7744] = 16'h8400;
7904
RsqrteLUT[7745] = 16'h83f8;
7905
RsqrteLUT[7746] = 16'h83f0;
7906
RsqrteLUT[7747] = 16'h83e8;
7907
RsqrteLUT[7748] = 16'h83e1;
7908
RsqrteLUT[7749] = 16'h83da;
7909
RsqrteLUT[7750] = 16'h83d3;
7910
RsqrteLUT[7751] = 16'h83cc;
7911
RsqrteLUT[7752] = 16'h83c5;
7912
RsqrteLUT[7753] = 16'h83be;
7913
RsqrteLUT[7754] = 16'h83b8;
7914
RsqrteLUT[7755] = 16'h83b1;
7915
RsqrteLUT[7756] = 16'h83ab;
7916
RsqrteLUT[7757] = 16'h83a5;
7917
RsqrteLUT[7758] = 16'h839f;
7918
RsqrteLUT[7759] = 16'h8399;
7919
RsqrteLUT[7760] = 16'h8393;
7920
RsqrteLUT[7761] = 16'h838e;
7921
RsqrteLUT[7762] = 16'h8388;
7922
RsqrteLUT[7763] = 16'h8383;
7923
RsqrteLUT[7764] = 16'h837d;
7924
RsqrteLUT[7765] = 16'h8378;
7925
RsqrteLUT[7766] = 16'h8373;
7926
RsqrteLUT[7767] = 16'h836e;
7927
RsqrteLUT[7768] = 16'h8369;
7928
RsqrteLUT[7769] = 16'h8364;
7929
RsqrteLUT[7770] = 16'h835f;
7930
RsqrteLUT[7771] = 16'h835a;
7931
RsqrteLUT[7772] = 16'h8356;
7932
RsqrteLUT[7773] = 16'h8351;
7933
RsqrteLUT[7774] = 16'h834c;
7934
RsqrteLUT[7775] = 16'h8348;
7935
RsqrteLUT[7776] = 16'h8344;
7936
RsqrteLUT[7777] = 16'h833f;
7937
RsqrteLUT[7778] = 16'h833b;
7938
RsqrteLUT[7779] = 16'h8337;
7939
RsqrteLUT[7780] = 16'h8333;
7940
RsqrteLUT[7781] = 16'h832f;
7941
RsqrteLUT[7782] = 16'h832b;
7942
RsqrteLUT[7783] = 16'h8327;
7943
RsqrteLUT[7784] = 16'h8323;
7944
RsqrteLUT[7785] = 16'h831f;
7945
RsqrteLUT[7786] = 16'h831b;
7946
RsqrteLUT[7787] = 16'h8317;
7947
RsqrteLUT[7788] = 16'h8314;
7948
RsqrteLUT[7789] = 16'h8310;
7949
RsqrteLUT[7790] = 16'h830d;
7950
RsqrteLUT[7791] = 16'h8309;
7951
RsqrteLUT[7792] = 16'h8306;
7952
RsqrteLUT[7793] = 16'h8302;
7953
RsqrteLUT[7794] = 16'h82ff;
7954
RsqrteLUT[7795] = 16'h82fb;
7955
RsqrteLUT[7796] = 16'h82f8;
7956
RsqrteLUT[7797] = 16'h82f5;
7957
RsqrteLUT[7798] = 16'h82f2;
7958
RsqrteLUT[7799] = 16'h82ee;
7959
RsqrteLUT[7800] = 16'h82eb;
7960
RsqrteLUT[7801] = 16'h82e8;
7961
RsqrteLUT[7802] = 16'h82e5;
7962
RsqrteLUT[7803] = 16'h82e2;
7963
RsqrteLUT[7804] = 16'h82df;
7964
RsqrteLUT[7805] = 16'h82dc;
7965
RsqrteLUT[7806] = 16'h82d9;
7966
RsqrteLUT[7807] = 16'h82d6;
7967
RsqrteLUT[7808] = 16'h82d4;
7968
RsqrteLUT[7809] = 16'h82ce;
7969
RsqrteLUT[7810] = 16'h82c9;
7970
RsqrteLUT[7811] = 16'h82c3;
7971
RsqrteLUT[7812] = 16'h82be;
7972
RsqrteLUT[7813] = 16'h82b9;
7973
RsqrteLUT[7814] = 16'h82b4;
7974
RsqrteLUT[7815] = 16'h82af;
7975
RsqrteLUT[7816] = 16'h82aa;
7976
RsqrteLUT[7817] = 16'h82a5;
7977
RsqrteLUT[7818] = 16'h82a1;
7978
RsqrteLUT[7819] = 16'h829c;
7979
RsqrteLUT[7820] = 16'h8298;
7980
RsqrteLUT[7821] = 16'h8294;
7981
RsqrteLUT[7822] = 16'h828f;
7982
RsqrteLUT[7823] = 16'h828b;
7983
RsqrteLUT[7824] = 16'h8287;
7984
RsqrteLUT[7825] = 16'h8283;
7985
RsqrteLUT[7826] = 16'h827f;
7986
RsqrteLUT[7827] = 16'h827b;
7987
RsqrteLUT[7828] = 16'h8278;
7988
RsqrteLUT[7829] = 16'h8274;
7989
RsqrteLUT[7830] = 16'h8270;
7990
RsqrteLUT[7831] = 16'h826d;
7991
RsqrteLUT[7832] = 16'h8269;
7992
RsqrteLUT[7833] = 16'h8266;
7993
RsqrteLUT[7834] = 16'h8262;
7994
RsqrteLUT[7835] = 16'h825f;
7995
RsqrteLUT[7836] = 16'h825b;
7996
RsqrteLUT[7837] = 16'h8258;
7997
RsqrteLUT[7838] = 16'h8255;
7998
RsqrteLUT[7839] = 16'h8252;
7999
RsqrteLUT[7840] = 16'h824f;
8000
RsqrteLUT[7841] = 16'h824c;
8001
RsqrteLUT[7842] = 16'h8249;
8002
RsqrteLUT[7843] = 16'h8246;
8003
RsqrteLUT[7844] = 16'h8243;
8004
RsqrteLUT[7845] = 16'h8240;
8005
RsqrteLUT[7846] = 16'h823d;
8006
RsqrteLUT[7847] = 16'h823a;
8007
RsqrteLUT[7848] = 16'h8238;
8008
RsqrteLUT[7849] = 16'h8235;
8009
RsqrteLUT[7850] = 16'h8232;
8010
RsqrteLUT[7851] = 16'h822f;
8011
RsqrteLUT[7852] = 16'h822d;
8012
RsqrteLUT[7853] = 16'h822a;
8013
RsqrteLUT[7854] = 16'h8228;
8014
RsqrteLUT[7855] = 16'h8225;
8015
RsqrteLUT[7856] = 16'h8223;
8016
RsqrteLUT[7857] = 16'h8220;
8017
RsqrteLUT[7858] = 16'h821e;
8018
RsqrteLUT[7859] = 16'h821c;
8019
RsqrteLUT[7860] = 16'h8219;
8020
RsqrteLUT[7861] = 16'h8217;
8021
RsqrteLUT[7862] = 16'h8215;
8022
RsqrteLUT[7863] = 16'h8213;
8023
RsqrteLUT[7864] = 16'h8210;
8024
RsqrteLUT[7865] = 16'h820e;
8025
RsqrteLUT[7866] = 16'h820c;
8026
RsqrteLUT[7867] = 16'h820a;
8027
RsqrteLUT[7868] = 16'h8208;
8028
RsqrteLUT[7869] = 16'h8206;
8029
RsqrteLUT[7870] = 16'h8204;
8030
RsqrteLUT[7871] = 16'h8202;
8031
RsqrteLUT[7872] = 16'h8200;
8032
RsqrteLUT[7873] = 16'h81f8;
8033
RsqrteLUT[7874] = 16'h81f0;
8034
RsqrteLUT[7875] = 16'h81e8;
8035
RsqrteLUT[7876] = 16'h81e1;
8036
RsqrteLUT[7877] = 16'h81da;
8037
RsqrteLUT[7878] = 16'h81d3;
8038
RsqrteLUT[7879] = 16'h81cc;
8039
RsqrteLUT[7880] = 16'h81c5;
8040
RsqrteLUT[7881] = 16'h81be;
8041
RsqrteLUT[7882] = 16'h81b8;
8042
RsqrteLUT[7883] = 16'h81b1;
8043
RsqrteLUT[7884] = 16'h81ab;
8044
RsqrteLUT[7885] = 16'h81a5;
8045
RsqrteLUT[7886] = 16'h819f;
8046
RsqrteLUT[7887] = 16'h8199;
8047
RsqrteLUT[7888] = 16'h8193;
8048
RsqrteLUT[7889] = 16'h818e;
8049
RsqrteLUT[7890] = 16'h8188;
8050
RsqrteLUT[7891] = 16'h8183;
8051
RsqrteLUT[7892] = 16'h817d;
8052
RsqrteLUT[7893] = 16'h8178;
8053
RsqrteLUT[7894] = 16'h8173;
8054
RsqrteLUT[7895] = 16'h816e;
8055
RsqrteLUT[7896] = 16'h8169;
8056
RsqrteLUT[7897] = 16'h8164;
8057
RsqrteLUT[7898] = 16'h815f;
8058
RsqrteLUT[7899] = 16'h815a;
8059
RsqrteLUT[7900] = 16'h8156;
8060
RsqrteLUT[7901] = 16'h8151;
8061
RsqrteLUT[7902] = 16'h814c;
8062
RsqrteLUT[7903] = 16'h8148;
8063
RsqrteLUT[7904] = 16'h8144;
8064
RsqrteLUT[7905] = 16'h813f;
8065
RsqrteLUT[7906] = 16'h813b;
8066
RsqrteLUT[7907] = 16'h8137;
8067
RsqrteLUT[7908] = 16'h8133;
8068
RsqrteLUT[7909] = 16'h812f;
8069
RsqrteLUT[7910] = 16'h812b;
8070
RsqrteLUT[7911] = 16'h8127;
8071
RsqrteLUT[7912] = 16'h8123;
8072
RsqrteLUT[7913] = 16'h811f;
8073
RsqrteLUT[7914] = 16'h811b;
8074
RsqrteLUT[7915] = 16'h8117;
8075
RsqrteLUT[7916] = 16'h8114;
8076
RsqrteLUT[7917] = 16'h8110;
8077
RsqrteLUT[7918] = 16'h810d;
8078
RsqrteLUT[7919] = 16'h8109;
8079
RsqrteLUT[7920] = 16'h8106;
8080
RsqrteLUT[7921] = 16'h8102;
8081
RsqrteLUT[7922] = 16'h80ff;
8082
RsqrteLUT[7923] = 16'h80fb;
8083
RsqrteLUT[7924] = 16'h80f8;
8084
RsqrteLUT[7925] = 16'h80f5;
8085
RsqrteLUT[7926] = 16'h80f2;
8086
RsqrteLUT[7927] = 16'h80ee;
8087
RsqrteLUT[7928] = 16'h80eb;
8088
RsqrteLUT[7929] = 16'h80e8;
8089
RsqrteLUT[7930] = 16'h80e5;
8090
RsqrteLUT[7931] = 16'h80e2;
8091
RsqrteLUT[7932] = 16'h80df;
8092
RsqrteLUT[7933] = 16'h80dc;
8093
RsqrteLUT[7934] = 16'h80d9;
8094
RsqrteLUT[7935] = 16'h80d6;
8095
RsqrteLUT[7936] = 16'h80d4;
8096
RsqrteLUT[7937] = 16'h80ce;
8097
RsqrteLUT[7938] = 16'h80c9;
8098
RsqrteLUT[7939] = 16'h80c3;
8099
RsqrteLUT[7940] = 16'h80be;
8100
RsqrteLUT[7941] = 16'h80b9;
8101
RsqrteLUT[7942] = 16'h80b4;
8102
RsqrteLUT[7943] = 16'h80af;
8103
RsqrteLUT[7944] = 16'h80aa;
8104
RsqrteLUT[7945] = 16'h80a5;
8105
RsqrteLUT[7946] = 16'h80a1;
8106
RsqrteLUT[7947] = 16'h809c;
8107
RsqrteLUT[7948] = 16'h8098;
8108
RsqrteLUT[7949] = 16'h8094;
8109
RsqrteLUT[7950] = 16'h808f;
8110
RsqrteLUT[7951] = 16'h808b;
8111
RsqrteLUT[7952] = 16'h8087;
8112
RsqrteLUT[7953] = 16'h8083;
8113
RsqrteLUT[7954] = 16'h807f;
8114
RsqrteLUT[7955] = 16'h807b;
8115
RsqrteLUT[7956] = 16'h8078;
8116
RsqrteLUT[7957] = 16'h8074;
8117
RsqrteLUT[7958] = 16'h8070;
8118
RsqrteLUT[7959] = 16'h806d;
8119
RsqrteLUT[7960] = 16'h8069;
8120
RsqrteLUT[7961] = 16'h8066;
8121
RsqrteLUT[7962] = 16'h8062;
8122
RsqrteLUT[7963] = 16'h805f;
8123
RsqrteLUT[7964] = 16'h805b;
8124
RsqrteLUT[7965] = 16'h8058;
8125
RsqrteLUT[7966] = 16'h8055;
8126
RsqrteLUT[7967] = 16'h8052;
8127
RsqrteLUT[7968] = 16'h804f;
8128
RsqrteLUT[7969] = 16'h804c;
8129
RsqrteLUT[7970] = 16'h8049;
8130
RsqrteLUT[7971] = 16'h8046;
8131
RsqrteLUT[7972] = 16'h8043;
8132
RsqrteLUT[7973] = 16'h8040;
8133
RsqrteLUT[7974] = 16'h803d;
8134
RsqrteLUT[7975] = 16'h803a;
8135
RsqrteLUT[7976] = 16'h8038;
8136
RsqrteLUT[7977] = 16'h8035;
8137
RsqrteLUT[7978] = 16'h8032;
8138
RsqrteLUT[7979] = 16'h802f;
8139
RsqrteLUT[7980] = 16'h802d;
8140
RsqrteLUT[7981] = 16'h802a;
8141
RsqrteLUT[7982] = 16'h8028;
8142
RsqrteLUT[7983] = 16'h8025;
8143
RsqrteLUT[7984] = 16'h8023;
8144
RsqrteLUT[7985] = 16'h8020;
8145
RsqrteLUT[7986] = 16'h801e;
8146
RsqrteLUT[7987] = 16'h801c;
8147
RsqrteLUT[7988] = 16'h8019;
8148
RsqrteLUT[7989] = 16'h8017;
8149
RsqrteLUT[7990] = 16'h8015;
8150
RsqrteLUT[7991] = 16'h8013;
8151
RsqrteLUT[7992] = 16'h8010;
8152
RsqrteLUT[7993] = 16'h800e;
8153
RsqrteLUT[7994] = 16'h800c;
8154
RsqrteLUT[7995] = 16'h800a;
8155
RsqrteLUT[7996] = 16'h8008;
8156
RsqrteLUT[7997] = 16'h8006;
8157
RsqrteLUT[7998] = 16'h8004;
8158
RsqrteLUT[7999] = 16'h8002;
8159
RsqrteLUT[8000] = 16'h8000;
8160
RsqrteLUT[8001] = 16'h7ff8;
8161
RsqrteLUT[8002] = 16'h7ff0;
8162
RsqrteLUT[8003] = 16'h7fe8;
8163
RsqrteLUT[8004] = 16'h7fe1;
8164
RsqrteLUT[8005] = 16'h7fda;
8165
RsqrteLUT[8006] = 16'h7fd3;
8166
RsqrteLUT[8007] = 16'h7fcc;
8167
RsqrteLUT[8008] = 16'h7fc5;
8168
RsqrteLUT[8009] = 16'h7fbe;
8169
RsqrteLUT[8010] = 16'h7fb8;
8170
RsqrteLUT[8011] = 16'h7fb1;
8171
RsqrteLUT[8012] = 16'h7fab;
8172
RsqrteLUT[8013] = 16'h7fa5;
8173
RsqrteLUT[8014] = 16'h7f9f;
8174
RsqrteLUT[8015] = 16'h7f99;
8175
RsqrteLUT[8016] = 16'h7f93;
8176
RsqrteLUT[8017] = 16'h7f8e;
8177
RsqrteLUT[8018] = 16'h7f88;
8178
RsqrteLUT[8019] = 16'h7f83;
8179
RsqrteLUT[8020] = 16'h7f7d;
8180
RsqrteLUT[8021] = 16'h7f78;
8181
RsqrteLUT[8022] = 16'h7f73;
8182
RsqrteLUT[8023] = 16'h7f6e;
8183
RsqrteLUT[8024] = 16'h7f69;
8184
RsqrteLUT[8025] = 16'h7f64;
8185
RsqrteLUT[8026] = 16'h7f5f;
8186
RsqrteLUT[8027] = 16'h7f5a;
8187
RsqrteLUT[8028] = 16'h7f56;
8188
RsqrteLUT[8029] = 16'h7f51;
8189
RsqrteLUT[8030] = 16'h7f4c;
8190
RsqrteLUT[8031] = 16'h7f48;
8191
RsqrteLUT[8032] = 16'h7f44;
8192
RsqrteLUT[8033] = 16'h7f3f;
8193
RsqrteLUT[8034] = 16'h7f3b;
8194
RsqrteLUT[8035] = 16'h7f37;
8195
RsqrteLUT[8036] = 16'h7f33;
8196
RsqrteLUT[8037] = 16'h7f2f;
8197
RsqrteLUT[8038] = 16'h7f2b;
8198
RsqrteLUT[8039] = 16'h7f27;
8199
RsqrteLUT[8040] = 16'h7f23;
8200
RsqrteLUT[8041] = 16'h7f1f;
8201
RsqrteLUT[8042] = 16'h7f1b;
8202
RsqrteLUT[8043] = 16'h7f17;
8203
RsqrteLUT[8044] = 16'h7f14;
8204
RsqrteLUT[8045] = 16'h7f10;
8205
RsqrteLUT[8046] = 16'h7f0d;
8206
RsqrteLUT[8047] = 16'h7f09;
8207
RsqrteLUT[8048] = 16'h7f06;
8208
RsqrteLUT[8049] = 16'h7f02;
8209
RsqrteLUT[8050] = 16'h7eff;
8210
RsqrteLUT[8051] = 16'h7efb;
8211
RsqrteLUT[8052] = 16'h7ef8;
8212
RsqrteLUT[8053] = 16'h7ef5;
8213
RsqrteLUT[8054] = 16'h7ef2;
8214
RsqrteLUT[8055] = 16'h7eee;
8215
RsqrteLUT[8056] = 16'h7eeb;
8216
RsqrteLUT[8057] = 16'h7ee8;
8217
RsqrteLUT[8058] = 16'h7ee5;
8218
RsqrteLUT[8059] = 16'h7ee2;
8219
RsqrteLUT[8060] = 16'h7edf;
8220
RsqrteLUT[8061] = 16'h7edc;
8221
RsqrteLUT[8062] = 16'h7ed9;
8222
RsqrteLUT[8063] = 16'h7ed6;
8223
RsqrteLUT[8064] = 16'h7ed4;
8224
RsqrteLUT[8065] = 16'h7ece;
8225
RsqrteLUT[8066] = 16'h7ec9;
8226
RsqrteLUT[8067] = 16'h7ec3;
8227
RsqrteLUT[8068] = 16'h7ebe;
8228
RsqrteLUT[8069] = 16'h7eb9;
8229
RsqrteLUT[8070] = 16'h7eb4;
8230
RsqrteLUT[8071] = 16'h7eaf;
8231
RsqrteLUT[8072] = 16'h7eaa;
8232
RsqrteLUT[8073] = 16'h7ea5;
8233
RsqrteLUT[8074] = 16'h7ea1;
8234
RsqrteLUT[8075] = 16'h7e9c;
8235
RsqrteLUT[8076] = 16'h7e98;
8236
RsqrteLUT[8077] = 16'h7e94;
8237
RsqrteLUT[8078] = 16'h7e8f;
8238
RsqrteLUT[8079] = 16'h7e8b;
8239
RsqrteLUT[8080] = 16'h7e87;
8240
RsqrteLUT[8081] = 16'h7e83;
8241
RsqrteLUT[8082] = 16'h7e7f;
8242
RsqrteLUT[8083] = 16'h7e7b;
8243
RsqrteLUT[8084] = 16'h7e78;
8244
RsqrteLUT[8085] = 16'h7e74;
8245
RsqrteLUT[8086] = 16'h7e70;
8246
RsqrteLUT[8087] = 16'h7e6d;
8247
RsqrteLUT[8088] = 16'h7e69;
8248
RsqrteLUT[8089] = 16'h7e66;
8249
RsqrteLUT[8090] = 16'h7e62;
8250
RsqrteLUT[8091] = 16'h7e5f;
8251
RsqrteLUT[8092] = 16'h7e5b;
8252
RsqrteLUT[8093] = 16'h7e58;
8253
RsqrteLUT[8094] = 16'h7e55;
8254
RsqrteLUT[8095] = 16'h7e52;
8255
RsqrteLUT[8096] = 16'h7e4f;
8256
RsqrteLUT[8097] = 16'h7e4c;
8257
RsqrteLUT[8098] = 16'h7e49;
8258
RsqrteLUT[8099] = 16'h7e46;
8259
RsqrteLUT[8100] = 16'h7e43;
8260
RsqrteLUT[8101] = 16'h7e40;
8261
RsqrteLUT[8102] = 16'h7e3d;
8262
RsqrteLUT[8103] = 16'h7e3a;
8263
RsqrteLUT[8104] = 16'h7e38;
8264
RsqrteLUT[8105] = 16'h7e35;
8265
RsqrteLUT[8106] = 16'h7e32;
8266
RsqrteLUT[8107] = 16'h7e2f;
8267
RsqrteLUT[8108] = 16'h7e2d;
8268
RsqrteLUT[8109] = 16'h7e2a;
8269
RsqrteLUT[8110] = 16'h7e28;
8270
RsqrteLUT[8111] = 16'h7e25;
8271
RsqrteLUT[8112] = 16'h7e23;
8272
RsqrteLUT[8113] = 16'h7e20;
8273
RsqrteLUT[8114] = 16'h7e1e;
8274
RsqrteLUT[8115] = 16'h7e1c;
8275
RsqrteLUT[8116] = 16'h7e19;
8276
RsqrteLUT[8117] = 16'h7e17;
8277
RsqrteLUT[8118] = 16'h7e15;
8278
RsqrteLUT[8119] = 16'h7e13;
8279
RsqrteLUT[8120] = 16'h7e10;
8280
RsqrteLUT[8121] = 16'h7e0e;
8281
RsqrteLUT[8122] = 16'h7e0c;
8282
RsqrteLUT[8123] = 16'h7e0a;
8283
RsqrteLUT[8124] = 16'h7e08;
8284
RsqrteLUT[8125] = 16'h7e06;
8285
RsqrteLUT[8126] = 16'h7e04;
8286
RsqrteLUT[8127] = 16'h7e02;
8287
RsqrteLUT[8128] = 16'h7e00;
8288
RsqrteLUT[8129] = 16'h7df8;
8289
RsqrteLUT[8130] = 16'h7df0;
8290
RsqrteLUT[8131] = 16'h7de8;
8291
RsqrteLUT[8132] = 16'h7de1;
8292
RsqrteLUT[8133] = 16'h7dda;
8293
RsqrteLUT[8134] = 16'h7dd3;
8294
RsqrteLUT[8135] = 16'h7dcc;
8295
RsqrteLUT[8136] = 16'h7dc5;
8296
RsqrteLUT[8137] = 16'h7dbe;
8297
RsqrteLUT[8138] = 16'h7db8;
8298
RsqrteLUT[8139] = 16'h7db1;
8299
RsqrteLUT[8140] = 16'h7dab;
8300
RsqrteLUT[8141] = 16'h7da5;
8301
RsqrteLUT[8142] = 16'h7d9f;
8302
RsqrteLUT[8143] = 16'h7d99;
8303
RsqrteLUT[8144] = 16'h7d93;
8304
RsqrteLUT[8145] = 16'h7d8e;
8305
RsqrteLUT[8146] = 16'h7d88;
8306
RsqrteLUT[8147] = 16'h7d83;
8307
RsqrteLUT[8148] = 16'h7d7d;
8308
RsqrteLUT[8149] = 16'h7d78;
8309
RsqrteLUT[8150] = 16'h7d73;
8310
RsqrteLUT[8151] = 16'h7d6e;
8311
RsqrteLUT[8152] = 16'h7d69;
8312
RsqrteLUT[8153] = 16'h7d64;
8313
RsqrteLUT[8154] = 16'h7d5f;
8314
RsqrteLUT[8155] = 16'h7d5a;
8315
RsqrteLUT[8156] = 16'h7d56;
8316
RsqrteLUT[8157] = 16'h7d51;
8317
RsqrteLUT[8158] = 16'h7d4c;
8318
RsqrteLUT[8159] = 16'h7d48;
8319
RsqrteLUT[8160] = 16'h7d44;
8320
RsqrteLUT[8161] = 16'h7d3f;
8321
RsqrteLUT[8162] = 16'h7d3b;
8322
RsqrteLUT[8163] = 16'h7d37;
8323
RsqrteLUT[8164] = 16'h7d33;
8324
RsqrteLUT[8165] = 16'h7d2f;
8325
RsqrteLUT[8166] = 16'h7d2b;
8326
RsqrteLUT[8167] = 16'h7d27;
8327
RsqrteLUT[8168] = 16'h7d23;
8328
RsqrteLUT[8169] = 16'h7d1f;
8329
RsqrteLUT[8170] = 16'h7d1b;
8330
RsqrteLUT[8171] = 16'h7d17;
8331
RsqrteLUT[8172] = 16'h7d14;
8332
RsqrteLUT[8173] = 16'h7d10;
8333
RsqrteLUT[8174] = 16'h7d0d;
8334
RsqrteLUT[8175] = 16'h7d09;
8335
RsqrteLUT[8176] = 16'h7d06;
8336
RsqrteLUT[8177] = 16'h7d02;
8337
RsqrteLUT[8178] = 16'h7cff;
8338
RsqrteLUT[8179] = 16'h7cfb;
8339
RsqrteLUT[8180] = 16'h7cf8;
8340
RsqrteLUT[8181] = 16'h7cf5;
8341
RsqrteLUT[8182] = 16'h7cf2;
8342
RsqrteLUT[8183] = 16'h7cee;
8343
RsqrteLUT[8184] = 16'h7ceb;
8344
RsqrteLUT[8185] = 16'h7ce8;
8345
RsqrteLUT[8186] = 16'h7ce5;
8346
RsqrteLUT[8187] = 16'h7ce2;
8347
RsqrteLUT[8188] = 16'h7cdf;
8348
RsqrteLUT[8189] = 16'h7cdc;
8349
RsqrteLUT[8190] = 16'h7cd9;
8350
RsqrteLUT[8191] = 16'h7cd6;
8351
end
8352
 
8353
wire [31:0] a1;
8354
reg [31:0] o1;
8355
reg s1, s2;
8356
F80ToF32 u1 (a, a1);
8357
F32ToF80 u2 (o1, o);
8358
 
8359
wire [13:0] index = a1[30:17];
8360
reg [13:0] indexr;
8361
reg [16:0] luto;
8362
 
8363
 
8364
always @(posedge clk)
8365
        if (ce) indexr <= index;
8366
always @(posedge clk)
8367
        if(ce) s1 <= a1[31];
8368
always @(posedge clk)
8369
if (ce) begin
8370
        s2 <= s1;
8371
        if (indexr < 14'd8129)
8372
                luto <= 17'h7FC0 << 1;
8373
        else if (indexr < 14'd8197)
8374
                luto <= RsqrteLUT2[indexr - 14'd8197] << 1;
8375
        else
8376
                luto <= RsqrteLUT[indexr[12:0]];
8377
end
8378
 
8379
wire [7:0] exp = luto[16:9];
8380
wire [22:0] man = {luto[8:0],14'd0};
8381
always @*
8382
        if (s2)
8383
                o1 <= {1'b0,`QSQRTNEG};
8384
        else
8385
                o1 = {1'b0,exp,man};
8386
 
8387
`else
8388
`ifdef RSQRT_SM
8389
// Reciprocal square root estimate using a state machine.
8390
 
8391
wire [31:0] a1;
8392
reg [31:0] x2, x2yy;
8393
reg [31:0] y, yy;
8394
wire [31:0] y1 = `FRSQRTE_MAGIC - a1[31:1];
8395
reg [31:0] aa0, bb0, aa1, bb1;
8396
wire [31:0] mo0, mo1, x2yy1p5;
8397
 
8398
reg [3:0] cnt;
8399
reg [2:0] state;
8400
parameter IDLE = 3'd0;
8401
parameter MULP5 = 3'd1;
8402
parameter MULX2YY = 3'd2;
8403
parameter SUB = 3'd3;
8404
parameter RES = 3'd4;
8405
 
8406
always @(posedge clk)
8407
begin
8408
        if (ld) begin
8409
                state <= MULP5;
8410
                cnt <= 4'd5;
8411
                aa0 <= a1;
8412
                bb0 <= `POINT5;
8413
                aa1 <= y1;
8414
                bb1 <= y1;
8415
                y <= y1;
8416
        end
8417
        case(state)
8418
        IDLE:   ;
8419
        MULP5:
8420
                begin
8421
                        cnt <= cnt - 4'd1;
8422
                        if (cnt[3]) begin
8423
                                cnt <= 4'd5;
8424
                                x2 <= mo0;
8425
                                yy <= mo1;
8426
                                aa0 <= mo0;
8427
                                bb0 <= mo1;
8428
                                state <= MULX2YY;
8429
                        end
8430
                end
8431
        MULX2YY:
8432
                begin
8433
                        cnt <= cnt - 4'd1;
8434
                        if (cnt[3]) begin
8435
                                cnt <= 4'd5;
8436
                                x2yy <= mo0;
8437
                                aa0 <= `ONEPOINT5;
8438
                                bb0 <= mo0;
8439
                                state <= SUB;
8440
                        end
8441
                end
8442
        SUB:
8443
                begin
8444
                        cnt <= cnt - 4'd1;
8445
                        if (cnt[3]) begin
8446
                                cnt <= 4'd5;
8447
                                aa0 <= y;
8448
                                bb0 <= x2yy1p5;
8449
                                state <= RES;
8450
                        end
8451
                end
8452
        RES:
8453
                begin
8454
                        cnt <= cnt - 4'd1;
8455
                        if (cnt[3]) begin
8456
                                state <= IDLE;
8457
                        end
8458
                end
8459
        endcase
8460
end
8461
 
8462
F80ToF32 u0 (a, a1);
8463
fpMulnr #(32) u1 (clk, ce, aa0, bb0, mo0);
8464
fpMulnr #(32) u2 (clk, ce, aa1, bb1, mo1);
8465
fpAddsubnr #(32) u3 (clk, ce, 3'd0, 1'b1, aa0, bb0, x2yy1p5);
8466
F32ToF80 u4 (mo0, o);
8467
 
8468
`else
8469
// Reciprocal square root estimate using FP hardware.
8470
 
8471
fpMulnr #(32) u1 (clk, ce, a, `POINT5, x2);
8472
assign y = `FRSQRTE_MAGIC - a[31:1];
8473
fpMulnr #(32) u2 (clk, ce, y, y, yy);
8474
fpMulnr #(32) u3 (clk, ce, x2, yy, x2yy);
8475
fpAddsubnr #(32) u4 (clk, ce, 3'd0, 1'b1, `ONEPOINT5, x2yy, x2yy1p5);
8476
fpMulnr #(32) u5 (clk, ce, y, x2yy1p5, o);
8477
 
8478
`endif
8479
`endif
8480
 
8481
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.