OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog/] [fpTrunc.sv] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 21 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2019  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//      fpTrunc.v
9
//              - convert floating point to integer (chop off fractional bits)
10
//              - single cycle latency floating point unit
11
//              - parameterized width
12
//              - IEEE 754 representation
13
//
14
//
15
// This source file is free software: you can redistribute it and/or modify
16
// it under the terms of the GNU Lesser General Public License as published
17
// by the Free Software Foundation, either version 3 of the License, or
18
// (at your option) any later version.
19
//
20
// This source file is distributed in the hope that it will be useful,
21
// but WITHOUT ANY WARRANTY; without even the implied warranty of
22
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
23
// GNU General Public License for more details.
24
//
25
// You should have received a copy of the GNU General Public License
26
// along with this program.  If not, see .
27
//
28
// ============================================================================
29
 
30
module fpTrunc
31
#(      parameter WID = 32)
32
(
33
        input clk,
34
        input ce,
35
        input [WID-1:0] i,
36
        output reg [WID-1:0] o,
37
        output overflow
38
);
39 26 robfinch
`include "fpSize.sv"
40 21 robfinch
 
41
integer n;
42
wire [MSB:0] maxInt  = {MSB{1'b1}};             // maximum unsigned integer value
43
wire [EMSB:0] zeroXp = {EMSB{1'b1}};    // simple constant - value of exp for zero
44
 
45
// Decompose fp value
46
reg sgn;                                                                        // sign
47
reg [EMSB:0] exp;
48
reg [FMSB:0] man;
49
reg [FMSB:0] mask;
50
 
51
wire [7:0] shamt = FMSB - (exp - zeroXp);
52
always @*
53
for (n = 0; n <= FMSB; n = n +1)
54
        mask[n] = (n > shamt);
55
 
56
always @*
57
        sgn = i[MSB];
58
always @*
59
        exp = i[MSB-1:FMSB+1];
60
always @*
61
        if (exp > zeroXp + FMSB)
62
                man = i[FMSB:0];
63
        else
64
                man = i[FMSB:0] & mask;
65
 
66
always @(posedge clk)
67
        if (ce) begin
68
                if (exp < zeroXp)
69
                        o <= 1'd0;
70
                else
71
                        o <= {sgn,exp,man};
72
        end
73
 
74
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.