OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog2/] [DFPMultiply.sv] - Blame information for rev 55

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 53 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2006-2020  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//      DFPMultiply.v
9
//              - decimal floating point multiplier
10
//              - can issue every clock cycle
11
//              - parameterized width
12
//
13
//
14
// BSD 3-Clause License
15
// Redistribution and use in source and binary forms, with or without
16
// modification, are permitted provided that the following conditions are met:
17
//
18
// 1. Redistributions of source code must retain the above copyright notice, this
19
//    list of conditions and the following disclaimer.
20
//
21
// 2. Redistributions in binary form must reproduce the above copyright notice,
22
//    this list of conditions and the following disclaimer in the documentation
23
//    and/or other materials provided with the distribution.
24
//
25
// 3. Neither the name of the copyright holder nor the names of its
26
//    contributors may be used to endorse or promote products derived from
27
//    this software without specific prior written permission.
28
//
29
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
30
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
31
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
32
// DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
33
// FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
34
// DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
35
// SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
36
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
37
// OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
38
// OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
39
//
40
//
41
//      Floating Point Multiplier
42
//
43
//      This multiplier handles denormalized numbers.
44
//      The output format is of an internal expanded representation
45
//      in preparation to be fed into a normalization unit, then
46
//      rounding. Basically, it's the same as the regular format
47
//      except the mantissa is doubled in size, the leading two
48
//      bits of which are assumed to be whole bits.
49
//
50
//
51
//      Floating Point Multiplier
52
//
53
//      Properties:
54
//      +-inf * +-inf = -+inf   (this is handled by exOver)
55
//      +-inf * 0     = QNaN
56
//
57
// ============================================================================
58
 
59
import fp::*;
60
 
61 54 robfinch
//`define DFPMUL_PARALLEL       1'b1
62
 
63
module DFPMultiply(clk, ce, ld, a, b, o, sign_exe, inf, overflow, underflow, done);
64 55 robfinch
parameter N=33;
65 53 robfinch
input clk;
66
input ce;
67 54 robfinch
input ld;
68 55 robfinch
input  [N*4+16+4-1:0] a, b;
69
output [(N+1)*4*2+16+4-1:0] o;
70 53 robfinch
output sign_exe;
71
output inf;
72
output overflow;
73
output underflow;
74 54 robfinch
output done;
75 53 robfinch
parameter DELAY =
76
  (FPWID == 128 ? 17 :
77
  FPWID == 80 ? 17 :
78
  FPWID == 64 ? 13 :
79
  FPWID == 40 ? 8 :
80
  FPWID == 32 ? 2 :
81
  FPWID == 16 ? 2 : 2);
82
 
83
reg [15:0] xo1;         // extra bit for sign
84 55 robfinch
reg [N*4*2-1:0] mo1;
85 53 robfinch
 
86
// constants
87
wire [15:0] infXp = 16'h9999;   // infinite / NaN - all ones
88
// The following is the value for an exponent of zero, with the offset
89
// eg. 8'h7f for eight bit exponent, 11'h7ff for eleven bit exponent, etc.
90
// The following is a template for a quiet nan. (MSB=1)
91 55 robfinch
wire [N*4-1:0] qNaN  = {4'h1,{104{1'b0}}};
92 53 robfinch
 
93
// variables
94 55 robfinch
reg [N*4*2-1:0] sig1;
95 53 robfinch
wire [15:0] ex2;
96
 
97
// Decompose the operands
98
wire sa, sb;                    // sign bit
99
wire [15:0] xa, xb;     // exponent bits
100
wire sxa, sxb;
101 55 robfinch
wire [N*4-1:0] siga, sigb;
102 53 robfinch
wire a_dn, b_dn;                        // a/b is denormalized
103
wire aNan, bNan, aNan1, bNan1;
104
wire az, bz;
105
wire aInf, bInf, aInf1, bInf1;
106
 
107
 
108
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
109
// Clock #1
110
// - decode the input operands
111
// - derive basic information
112
// - calculate exponent
113
// - calculate fraction
114
// - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
115
 
116
// -----------------------------------------------------------
117
// First clock
118
// -----------------------------------------------------------
119
 
120
reg under, over;
121 54 robfinch
reg [15:0] sum_ex, sum_ex1;
122 53 robfinch
reg sx0;
123 54 robfinch
wire done1;
124 53 robfinch
 
125
DFPDecompose u1a (.i(a), .sgn(sa), .sx(sxa), .exp(xa), .sig(siga), .xz(a_dn), .vz(az), .inf(aInf), .nan(aNan) );
126
DFPDecompose u1b (.i(b), .sgn(sb), .sx(sxb), .exp(xb), .sig(sigb), .xz(b_dn), .vz(bz), .inf(bInf), .nan(bNan) );
127
 
128
// Compute the sum of the exponents.
129
// Exponents are sign-magnitude.
130
wire [15:0] xapxb, xamxb, xbmxa;
131
wire xapxbc, xamxbc, xbmxac;
132
BCDAddN #(.N(4)) u1c (.ci(1'b0), .a(xa), .b(xb), .o(xapxb), .co(xapxbc));
133
BCDSubN #(.N(4)) u1d (.ci(1'b0), .a(xa), .b(xb), .o(xamxb), .co(xamxbc));
134
BCDSubN #(.N(4)) u1e (.ci(1'b0), .a(xb), .b(xa), .o(xbmxa), .co(xbmxac));
135 54 robfinch
BCDSubN #(.N(5)) u1h (.ci(1'b0), .a(20'h10000), .b(sum_ex1), .o(sum_ex2), .co());
136 53 robfinch
 
137
always @*
138
        case({sxa,sxb})
139 54 robfinch
        2'b11:  begin sum_ex1 <= xapxb; over <= xapxbc; under <= 1'b0; sx0 <= sxa; end
140
        2'b01:  begin sum_ex1 <= xbmxa; over <= 1'b0; under <= 1'b0; sx0 <= ~xbmxac; end
141
        2'b10:  begin sum_ex1 <= xamxb; over <= 1'b0; under <= 1'b0; sx0 <= ~xamxbc; end
142
        2'b00:  begin sum_ex1 <= xapxb; over <= 1'b0; under <= xapxbc; sx0 <= sxa; end
143 53 robfinch
        endcase
144
 
145 54 robfinch
// Take nine's complement if exponent sign changed.
146
always @*
147
        if ((sxa^sxb)) begin
148
                if ((sxa & xamxbc) || (sxb & xbmxac))
149
                        sum_ex <= sum_ex2;
150
                else
151
                        sum_ex <= sum_ex1;
152
        end
153
        else
154
                sum_ex <= sum_ex1;
155
 
156 55 robfinch
wire [N*4*2-1:0] sigoo;
157 54 robfinch
`ifdef DFPMUL_PARALLEL
158 53 robfinch
BCDMul32 u1f (.a({20'h0,siga}),.b({20'h0,sigb}),.o(sigoo));
159 54 robfinch
`else
160 55 robfinch
dfmul #(.N(N)) u1g
161 54 robfinch
(
162
        .clk(clk),
163
        .ld(ld),
164
        .a(siga),
165
        .b(sigb),
166
        .p(sigoo),
167
        .done(done1)
168
);
169
`endif
170 53 robfinch
 
171
always @(posedge clk)
172 55 robfinch
  if (ce) sig1 <= sigoo[N*4*2-1:0];
173 53 robfinch
 
174
// Status
175
wire under1, over1;
176
 
177
delay #(.WID(16),.DEP(DELAY)) u3 (.clk(clk), .ce(ce), .i(sum_ex), .o(ex2) );
178
delay #(.WID(1),.DEP(DELAY)) u2a (.clk(clk), .ce(ce), .i(aInf), .o(aInf1) );
179
delay #(.WID(1),.DEP(DELAY)) u2b (.clk(clk), .ce(ce), .i(bInf), .o(bInf1) );
180
delay #(.WID(1),.DEP(DELAY)) u6  (.clk(clk), .ce(ce), .i(under), .o(under1) );
181
delay #(.WID(1),.DEP(DELAY)) u7  (.clk(clk), .ce(ce), .i(over), .o(over1) );
182
 
183
// determine when a NaN is output
184
wire qNaNOut;
185 55 robfinch
wire [N*4+16+4-1:0] a1,b1;
186 53 robfinch
delay #(.WID(1),.DEP(DELAY)) u5 (.clk(clk), .ce(ce), .i((aInf&bz)|(bInf&az)), .o(qNaNOut) );
187
delay #(.WID(1),.DEP(DELAY)) u14 (.clk(clk), .ce(ce), .i(aNan), .o(aNan1) );
188
delay #(.WID(1),.DEP(DELAY)) u15 (.clk(clk), .ce(ce), .i(bNan), .o(bNan1) );
189 55 robfinch
delay #(.WID(N*4+16+4),.DEP(DELAY))  u16 (.clk(clk), .ce(ce), .i(a), .o(a1) );
190
delay #(.WID(N*4+16+4),.DEP(DELAY))  u17 (.clk(clk), .ce(ce), .i(b), .o(b1) );
191 53 robfinch
 
192
// -----------------------------------------------------------
193
// Second clock
194
// - correct xponent and mantissa for exceptional conditions
195
// -----------------------------------------------------------
196
 
197
wire so1, sx1;
198
reg [3:0] st;
199 54 robfinch
wire done1a;
200 53 robfinch
 
201
delay #(.WID(1),.DEP(1)) u8 (.clk(clk), .ce(ce), .i(~(sa ^ sb)), .o(so1) );// two clock delay!
202
delay #(.WID(1),.DEP(1)) u9 (.clk(clk), .ce(ce), .i(sx0), .o(sx1) );// two clock delay!
203
 
204
always @(posedge clk)
205
        if (ce)
206
                casez({qNaNOut|aNan1|bNan1,aInf1,bInf1,over1,under1})
207
                5'b1????:       xo1 = infXp;    // qNaN - infinity * zero
208
                5'b01???:       xo1 = infXp;    // 'a' infinite
209
                5'b001??:       xo1 = infXp;    // 'b' infinite
210
                5'b0001?:       xo1 = infXp;    // result overflow
211
                5'b00001:       xo1 = ex2[15:0];//0;            // underflow
212
                default:        xo1 = ex2[15:0];        // situation normal
213
                endcase
214
 
215
// Force mantissa to zero when underflow or zero exponent when not supporting denormals.
216
always @(posedge clk)
217
        if (ce)
218
                casez({aNan1,bNan1,qNaNOut,aInf1,bInf1,over1|under1})
219 55 robfinch
                6'b1?????:  mo1 = {4'h1,a1[N*4-4-1:0],{N*4{1'b0}}};
220
    6'b01????:  mo1 = {4'h1,b1[N*4-4-1:0],{N*4{1'b0}}};
221
                6'b001???:      mo1 = {4'h1,qNaN|3'd4,{N*4{1'b0}}};     // multiply inf * zero
222 53 robfinch
                6'b0001??:      mo1 = 0;        // mul inf's
223
                6'b00001?:      mo1 = 0;        // mul inf's
224
                6'b000001:      mo1 = 0;        // mul overflow
225
                default:        mo1 = sig1;
226
                endcase
227
 
228
always @(posedge clk)
229
        if (ce) begin
230
                st[3] <= aNan1|bNan1;
231
                st[2] <= so1;
232
                st[1] <= aInf|bInf|over;
233
                st[0] <= sx1;
234
        end
235
 
236
delay #(.WID(1),.DEP(DELAY+1)) u10 (.clk(clk), .ce(ce), .i(sa & sb), .o(sign_exe) );
237
delay1 u11 (.clk(clk), .ce(ce), .i(over1),  .o(overflow) );
238
delay1 u12 (.clk(clk), .ce(ce), .i(over1),  .o(inf) );
239
delay1 u13 (.clk(clk), .ce(ce), .i(under1), .o(underflow) );
240 54 robfinch
delay #(.WID(1),.DEP(3)) u18 (.clk(clk), .ce(ce), .i(done1), .o(done1a) );
241 53 robfinch
 
242
assign o = {st,xo1,mo1,8'h00};
243 54 robfinch
assign done = done1&done1a;
244 53 robfinch
 
245
endmodule
246
 
247
 
248
// Multiplier with normalization and rounding.
249
 
250 54 robfinch
module DFPMultiplynr(clk, ce, ld, a, b, o, rm, sign_exe, inf, overflow, underflow, done);
251 55 robfinch
parameter N=33;
252 53 robfinch
input clk;
253
input ce;
254 54 robfinch
input ld;
255 55 robfinch
input  [N*4+16+4-1:0] a, b;
256
output [N*4+16+4-1:0] o;
257 53 robfinch
input [2:0] rm;
258
output sign_exe;
259
output inf;
260
output overflow;
261
output underflow;
262 54 robfinch
output done;
263 53 robfinch
 
264 54 robfinch
wire done1, done1a;
265 55 robfinch
wire [(N+1)*4*2+16+4-1:0] o1;
266 53 robfinch
wire sign_exe1, inf1, overflow1, underflow1;
267 55 robfinch
wire [N*4+16+4-1+4:0] fpn0;
268 53 robfinch
 
269 54 robfinch
DFPMultiply  u1 (clk, ce, ld, a, b, o1, sign_exe1, inf1, overflow1, underflow1, done1);
270 53 robfinch
DFPNormalize u2(.clk(clk), .ce(ce), .under_i(underflow1), .i(o1), .o(fpn0) );
271
DFPRound     u3(.clk(clk), .ce(ce), .rm(rm), .i(fpn0), .o(o) );
272
delay2      #(1)   u4(.clk(clk), .ce(ce), .i(sign_exe1), .o(sign_exe));
273
delay2      #(1)   u5(.clk(clk), .ce(ce), .i(inf1), .o(inf));
274
delay2      #(1)   u6(.clk(clk), .ce(ce), .i(overflow1), .o(overflow));
275
delay2      #(1)   u7(.clk(clk), .ce(ce), .i(underflow1), .o(underflow));
276 54 robfinch
delay #(.WID(1),.DEP(11)) u10 (.clk(clk), .ce(ce), .i(done1), .o(done1a) );
277
assign done = done1 & done1a;
278
 
279 53 robfinch
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.