OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog2/] [RsqrteLUT.sv] - Blame information for rev 86

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 robfinch
RsqrteLUT[0] = 32'h3f800000;
2
RsqrteLUT[1] = 32'h42000000;
3
RsqrteLUT[2] = 32'h41b504f3;
4
RsqrteLUT[3] = 32'h4193cd3a;
5
RsqrteLUT[4] = 32'h41800000;
6
RsqrteLUT[5] = 32'h4164f92e;
7
RsqrteLUT[6] = 32'h415105eb;
8
RsqrteLUT[7] = 32'h4141848f;
9
RsqrteLUT[8] = 32'h413504f3;
10
RsqrteLUT[9] = 32'h412aaaaa;
11
RsqrteLUT[10] = 32'h4121e89b;
12
RsqrteLUT[11] = 32'h411a5fb1;
13
RsqrteLUT[12] = 32'h4113cd3a;
14
RsqrteLUT[13] = 32'h410e00d5;
15
RsqrteLUT[14] = 32'h4108d677;
16
RsqrteLUT[15] = 32'h410432a5;
17
RsqrteLUT[16] = 32'h41000000;
18
RsqrteLUT[17] = 32'h40f85b42;
19
RsqrteLUT[18] = 32'h40f15bee;
20
RsqrteLUT[19] = 32'h40eaebf5;
21
RsqrteLUT[20] = 32'h40e4f92e;
22
RsqrteLUT[21] = 32'h40df7482;
23
RsqrteLUT[22] = 32'h40da5149;
24
RsqrteLUT[23] = 32'h40d584cd;
25
RsqrteLUT[24] = 32'h40d105eb;
26
RsqrteLUT[25] = 32'h40cccccc;
27
RsqrteLUT[26] = 32'h40c8d2ab;
28
RsqrteLUT[27] = 32'h40c511a2;
29
RsqrteLUT[28] = 32'h40c1848f;
30
RsqrteLUT[29] = 32'h40be26eb;
31
RsqrteLUT[30] = 32'h40baf4ba;
32
RsqrteLUT[31] = 32'h40b7ea73;
33
RsqrteLUT[32] = 32'h40b504f3;
34
RsqrteLUT[33] = 32'h40b24169;
35
RsqrteLUT[34] = 32'h40af9d53;
36
RsqrteLUT[35] = 32'h40ad166c;
37
RsqrteLUT[36] = 32'h40aaaaaa;
38
RsqrteLUT[37] = 32'h40a85835;
39
RsqrteLUT[38] = 32'h40a61d5f;
40
RsqrteLUT[39] = 32'h40a3f8a2;
41
RsqrteLUT[40] = 32'h40a1e89b;
42
RsqrteLUT[41] = 32'h409fec03;
43
RsqrteLUT[42] = 32'h409e01b2;
44
RsqrteLUT[43] = 32'h409c2895;
45
RsqrteLUT[44] = 32'h409a5fb1;
46
RsqrteLUT[45] = 32'h4098a61e;
47
RsqrteLUT[46] = 32'h4096fb06;
48
RsqrteLUT[47] = 32'h40955da1;
49
RsqrteLUT[48] = 32'h4093cd3a;
50
RsqrteLUT[49] = 32'h40924924;
51
RsqrteLUT[50] = 32'h4090d0c2;
52
RsqrteLUT[51] = 32'h408f6380;
53
RsqrteLUT[52] = 32'h408e00d5;
54
RsqrteLUT[53] = 32'h408ca83f;
55
RsqrteLUT[54] = 32'h408b5947;
56
RsqrteLUT[55] = 32'h408a137d;
57
RsqrteLUT[56] = 32'h4088d677;
58
RsqrteLUT[57] = 32'h4087a1d2;
59
RsqrteLUT[58] = 32'h40867531;
60
RsqrteLUT[59] = 32'h4085503d;
61
RsqrteLUT[60] = 32'h408432a5;
62
RsqrteLUT[61] = 32'h40831c19;
63
RsqrteLUT[62] = 32'h40820c52;
64
RsqrteLUT[63] = 32'h4081030a;
65
RsqrteLUT[64] = 32'h40800000;
66
RsqrteLUT[65] = 32'h407e05ec;
67
RsqrteLUT[66] = 32'h407c1764;
68
RsqrteLUT[67] = 32'h407a33f9;
69
RsqrteLUT[68] = 32'h40785b42;
70
RsqrteLUT[69] = 32'h40768cdb;
71
RsqrteLUT[70] = 32'h4074c866;
72
RsqrteLUT[71] = 32'h40730d89;
73
RsqrteLUT[72] = 32'h40715bee;
74
RsqrteLUT[73] = 32'h406fb344;
75
RsqrteLUT[74] = 32'h406e133d;
76
RsqrteLUT[75] = 32'h406c7b90;
77
RsqrteLUT[76] = 32'h406aebf5;
78
RsqrteLUT[77] = 32'h40696429;
79
RsqrteLUT[78] = 32'h4067e3ed;
80
RsqrteLUT[79] = 32'h40666b02;
81
RsqrteLUT[80] = 32'h4064f92e;
82
RsqrteLUT[81] = 32'h40638e38;
83
RsqrteLUT[82] = 32'h406229ec;
84
RsqrteLUT[83] = 32'h4060cc15;
85
RsqrteLUT[84] = 32'h405f7482;
86
RsqrteLUT[85] = 32'h405e2304;
87
RsqrteLUT[86] = 32'h405cd76d;
88
RsqrteLUT[87] = 32'h405b9192;
89
RsqrteLUT[88] = 32'h405a5149;
90
RsqrteLUT[89] = 32'h4059166a;
91
RsqrteLUT[90] = 32'h4057e0ce;
92
RsqrteLUT[91] = 32'h4056b050;
93
RsqrteLUT[92] = 32'h405584cd;
94
RsqrteLUT[93] = 32'h40545e22;
95
RsqrteLUT[94] = 32'h40533c2d;
96
RsqrteLUT[95] = 32'h40521ed0;
97
RsqrteLUT[96] = 32'h405105eb;
98
RsqrteLUT[97] = 32'h404ff161;
99
RsqrteLUT[98] = 32'h404ee115;
100
RsqrteLUT[99] = 32'h404dd4ed;
101
RsqrteLUT[100] = 32'h404ccccc;
102
RsqrteLUT[101] = 32'h404bc89b;
103
RsqrteLUT[102] = 32'h404ac83f;
104
RsqrteLUT[103] = 32'h4049cba1;
105
RsqrteLUT[104] = 32'h4048d2ab;
106
RsqrteLUT[105] = 32'h4047dd45;
107
RsqrteLUT[106] = 32'h4046eb5a;
108
RsqrteLUT[107] = 32'h4045fcd5;
109
RsqrteLUT[108] = 32'h404511a2;
110
RsqrteLUT[109] = 32'h404429ae;
111
RsqrteLUT[110] = 32'h404344e6;
112
RsqrteLUT[111] = 32'h40426336;
113
RsqrteLUT[112] = 32'h4041848f;
114
RsqrteLUT[113] = 32'h4040a8dd;
115
RsqrteLUT[114] = 32'h403fd011;
116
RsqrteLUT[115] = 32'h403efa1b;
117
RsqrteLUT[116] = 32'h403e26eb;
118
RsqrteLUT[117] = 32'h403d5671;
119
RsqrteLUT[118] = 32'h403c889f;
120
RsqrteLUT[119] = 32'h403bbd66;
121
RsqrteLUT[120] = 32'h403af4ba;
122
RsqrteLUT[121] = 32'h403a2e8b;
123
RsqrteLUT[122] = 32'h40396ace;
124
RsqrteLUT[123] = 32'h4038a974;
125
RsqrteLUT[124] = 32'h4037ea73;
126
RsqrteLUT[125] = 32'h40372dbe;
127
RsqrteLUT[126] = 32'h40367349;
128
RsqrteLUT[127] = 32'h4035bb09;
129
RsqrteLUT[128] = 32'h403504f3;
130
RsqrteLUT[129] = 32'h403450fc;
131
RsqrteLUT[130] = 32'h40339f19;
132
RsqrteLUT[131] = 32'h4032ef41;
133
RsqrteLUT[132] = 32'h40324169;
134
RsqrteLUT[133] = 32'h40319589;
135
RsqrteLUT[134] = 32'h4030eb95;
136
RsqrteLUT[135] = 32'h40304386;
137
RsqrteLUT[136] = 32'h402f9d53;
138
RsqrteLUT[137] = 32'h402ef8f2;
139
RsqrteLUT[138] = 32'h402e565b;
140
RsqrteLUT[139] = 32'h402db587;
141
RsqrteLUT[140] = 32'h402d166c;
142
RsqrteLUT[141] = 32'h402c7903;
143
RsqrteLUT[142] = 32'h402bdd45;
144
RsqrteLUT[143] = 32'h402b432a;
145
RsqrteLUT[144] = 32'h402aaaaa;
146
RsqrteLUT[145] = 32'h402a13bf;
147
RsqrteLUT[146] = 32'h40297e62;
148
RsqrteLUT[147] = 32'h4028ea8b;
149
RsqrteLUT[148] = 32'h40285835;
150
RsqrteLUT[149] = 32'h4027c758;
151
RsqrteLUT[150] = 32'h402737ef;
152
RsqrteLUT[151] = 32'h4026a9f3;
153
RsqrteLUT[152] = 32'h40261d5f;
154
RsqrteLUT[153] = 32'h4025922c;
155
RsqrteLUT[154] = 32'h40250854;
156
RsqrteLUT[155] = 32'h40247fd3;
157
RsqrteLUT[156] = 32'h4023f8a2;
158
RsqrteLUT[157] = 32'h402372bc;
159
RsqrteLUT[158] = 32'h4022ee1d;
160
RsqrteLUT[159] = 32'h40226abe;
161
RsqrteLUT[160] = 32'h4021e89b;
162
RsqrteLUT[161] = 32'h402167ae;
163
RsqrteLUT[162] = 32'h4020e7f4;
164
RsqrteLUT[163] = 32'h40206967;
165
RsqrteLUT[164] = 32'h401fec03;
166
RsqrteLUT[165] = 32'h401f6fc3;
167
RsqrteLUT[166] = 32'h401ef4a4;
168
RsqrteLUT[167] = 32'h401e7a9f;
169
RsqrteLUT[168] = 32'h401e01b2;
170
RsqrteLUT[169] = 32'h401d89d8;
171
RsqrteLUT[170] = 32'h401d130d;
172
RsqrteLUT[171] = 32'h401c9d4e;
173
RsqrteLUT[172] = 32'h401c2895;
174
RsqrteLUT[173] = 32'h401bb4e0;
175
RsqrteLUT[174] = 32'h401b422b;
176
RsqrteLUT[175] = 32'h401ad072;
177
RsqrteLUT[176] = 32'h401a5fb1;
178
RsqrteLUT[177] = 32'h4019efe6;
179
RsqrteLUT[178] = 32'h4019810c;
180
RsqrteLUT[179] = 32'h4019131f;
181
RsqrteLUT[180] = 32'h4018a61e;
182
RsqrteLUT[181] = 32'h40183a05;
183
RsqrteLUT[182] = 32'h4017cecf;
184
RsqrteLUT[183] = 32'h4017647b;
185
RsqrteLUT[184] = 32'h4016fb06;
186
RsqrteLUT[185] = 32'h4016926b;
187
RsqrteLUT[186] = 32'h40162aa9;
188
RsqrteLUT[187] = 32'h4015c3bc;
189
RsqrteLUT[188] = 32'h40155da1;
190
RsqrteLUT[189] = 32'h4014f857;
191
RsqrteLUT[190] = 32'h401493d9;
192
RsqrteLUT[191] = 32'h40143025;
193
RsqrteLUT[192] = 32'h4013cd3a;
194
RsqrteLUT[193] = 32'h40136b13;
195
RsqrteLUT[194] = 32'h401309af;
196
RsqrteLUT[195] = 32'h4012a90b;
197
RsqrteLUT[196] = 32'h40124924;
198
RsqrteLUT[197] = 32'h4011e9f9;
199
RsqrteLUT[198] = 32'h40118b86;
200
RsqrteLUT[199] = 32'h40112dca;
201
RsqrteLUT[200] = 32'h4010d0c2;
202
RsqrteLUT[201] = 32'h4010746c;
203
RsqrteLUT[202] = 32'h401018c6;
204
RsqrteLUT[203] = 32'h400fbdcd;
205
RsqrteLUT[204] = 32'h400f6380;
206
RsqrteLUT[205] = 32'h400f09dc;
207
RsqrteLUT[206] = 32'h400eb0e0;
208
RsqrteLUT[207] = 32'h400e5888;
209
RsqrteLUT[208] = 32'h400e00d5;
210
RsqrteLUT[209] = 32'h400da9c2;
211
RsqrteLUT[210] = 32'h400d534f;
212
RsqrteLUT[211] = 32'h400cfd79;
213
RsqrteLUT[212] = 32'h400ca83f;
214
RsqrteLUT[213] = 32'h400c539f;
215
RsqrteLUT[214] = 32'h400bff97;
216
RsqrteLUT[215] = 32'h400bac25;
217
RsqrteLUT[216] = 32'h400b5947;
218
RsqrteLUT[217] = 32'h400b06fd;
219
RsqrteLUT[218] = 32'h400ab543;
220
RsqrteLUT[219] = 32'h400a6419;
221
RsqrteLUT[220] = 32'h400a137d;
222
RsqrteLUT[221] = 32'h4009c36d;
223
RsqrteLUT[222] = 32'h400973e8;
224
RsqrteLUT[223] = 32'h400924eb;
225
RsqrteLUT[224] = 32'h4008d677;
226
RsqrteLUT[225] = 32'h40088888;
227
RsqrteLUT[226] = 32'h40083b1e;
228
RsqrteLUT[227] = 32'h4007ee37;
229
RsqrteLUT[228] = 32'h4007a1d2;
230
RsqrteLUT[229] = 32'h400755ed;
231
RsqrteLUT[230] = 32'h40070a86;
232
RsqrteLUT[231] = 32'h4006bf9e;
233
RsqrteLUT[232] = 32'h40067531;
234
RsqrteLUT[233] = 32'h40062b3f;
235
RsqrteLUT[234] = 32'h4005e1c7;
236
RsqrteLUT[235] = 32'h400598c7;
237
RsqrteLUT[236] = 32'h4005503d;
238
RsqrteLUT[237] = 32'h4005082a;
239
RsqrteLUT[238] = 32'h4004c08b;
240
RsqrteLUT[239] = 32'h4004795f;
241
RsqrteLUT[240] = 32'h400432a5;
242
RsqrteLUT[241] = 32'h4003ec5b;
243
RsqrteLUT[242] = 32'h4003a682;
244
RsqrteLUT[243] = 32'h40036117;
245
RsqrteLUT[244] = 32'h40031c19;
246
RsqrteLUT[245] = 32'h4002d788;
247
RsqrteLUT[246] = 32'h40029361;
248
RsqrteLUT[247] = 32'h40024fa5;
249
RsqrteLUT[248] = 32'h40020c52;
250
RsqrteLUT[249] = 32'h4001c966;
251
RsqrteLUT[250] = 32'h400186e2;
252
RsqrteLUT[251] = 32'h400144c3;
253
RsqrteLUT[252] = 32'h4001030a;
254
RsqrteLUT[253] = 32'h4000c1b4;
255
RsqrteLUT[254] = 32'h400080c1;
256
RsqrteLUT[255] = 32'h40004030;
257
RsqrteLUT[256] = 32'h40000000;
258
RsqrteLUT[257] = 32'h3fff805f;
259
RsqrteLUT[258] = 32'h3fff017d;
260
RsqrteLUT[259] = 32'h3ffe8357;
261
RsqrteLUT[260] = 32'h3ffe05ec;
262
RsqrteLUT[261] = 32'h3ffd8939;
263
RsqrteLUT[262] = 32'h3ffd0d3d;
264
RsqrteLUT[263] = 32'h3ffc91f7;
265
RsqrteLUT[264] = 32'h3ffc1764;
266
RsqrteLUT[265] = 32'h3ffb9d82;
267
RsqrteLUT[266] = 32'h3ffb2451;
268
RsqrteLUT[267] = 32'h3ffaabcf;
269
RsqrteLUT[268] = 32'h3ffa33f9;
270
RsqrteLUT[269] = 32'h3ff9bcce;
271
RsqrteLUT[270] = 32'h3ff9464d;
272
RsqrteLUT[271] = 32'h3ff8d074;
273
RsqrteLUT[272] = 32'h3ff85b42;
274
RsqrteLUT[273] = 32'h3ff7e6b4;
275
RsqrteLUT[274] = 32'h3ff772ca;
276
RsqrteLUT[275] = 32'h3ff6ff83;
277
RsqrteLUT[276] = 32'h3ff68cdb;
278
RsqrteLUT[277] = 32'h3ff61ad3;
279
RsqrteLUT[278] = 32'h3ff5a968;
280
RsqrteLUT[279] = 32'h3ff5389a;
281
RsqrteLUT[280] = 32'h3ff4c866;
282
RsqrteLUT[281] = 32'h3ff458cc;
283
RsqrteLUT[282] = 32'h3ff3e9ca;
284
RsqrteLUT[283] = 32'h3ff37b5f;
285
RsqrteLUT[284] = 32'h3ff30d89;
286
RsqrteLUT[285] = 32'h3ff2a048;
287
RsqrteLUT[286] = 32'h3ff23399;
288
RsqrteLUT[287] = 32'h3ff1c77b;
289
RsqrteLUT[288] = 32'h3ff15bee;
290
RsqrteLUT[289] = 32'h3ff0f0f0;
291
RsqrteLUT[290] = 32'h3ff08680;
292
RsqrteLUT[291] = 32'h3ff01c9d;
293
RsqrteLUT[292] = 32'h3fefb344;
294
RsqrteLUT[293] = 32'h3fef4a76;
295
RsqrteLUT[294] = 32'h3feee231;
296
RsqrteLUT[295] = 32'h3fee7a74;
297
RsqrteLUT[296] = 32'h3fee133d;
298
RsqrteLUT[297] = 32'h3fedac8c;
299
RsqrteLUT[298] = 32'h3fed4660;
300
RsqrteLUT[299] = 32'h3fece0b7;
301
RsqrteLUT[300] = 32'h3fec7b90;
302
RsqrteLUT[301] = 32'h3fec16ea;
303
RsqrteLUT[302] = 32'h3febb2c4;
304
RsqrteLUT[303] = 32'h3feb4f1e;
305
RsqrteLUT[304] = 32'h3feaebf5;
306
RsqrteLUT[305] = 32'h3fea8949;
307
RsqrteLUT[306] = 32'h3fea2719;
308
RsqrteLUT[307] = 32'h3fe9c564;
309
RsqrteLUT[308] = 32'h3fe96429;
310
RsqrteLUT[309] = 32'h3fe90367;
311
RsqrteLUT[310] = 32'h3fe8a31d;
312
RsqrteLUT[311] = 32'h3fe8434a;
313
RsqrteLUT[312] = 32'h3fe7e3ed;
314
RsqrteLUT[313] = 32'h3fe78505;
315
RsqrteLUT[314] = 32'h3fe72691;
316
RsqrteLUT[315] = 32'h3fe6c890;
317
RsqrteLUT[316] = 32'h3fe66b02;
318
RsqrteLUT[317] = 32'h3fe60de5;
319
RsqrteLUT[318] = 32'h3fe5b138;
320
RsqrteLUT[319] = 32'h3fe554fc;
321
RsqrteLUT[320] = 32'h3fe4f92e;
322
RsqrteLUT[321] = 32'h3fe49dce;
323
RsqrteLUT[322] = 32'h3fe442db;
324
RsqrteLUT[323] = 32'h3fe3e854;
325
RsqrteLUT[324] = 32'h3fe38e38;
326
RsqrteLUT[325] = 32'h3fe33488;
327
RsqrteLUT[326] = 32'h3fe2db40;
328
RsqrteLUT[327] = 32'h3fe28262;
329
RsqrteLUT[328] = 32'h3fe229ec;
330
RsqrteLUT[329] = 32'h3fe1d1dd;
331
RsqrteLUT[330] = 32'h3fe17a35;
332
RsqrteLUT[331] = 32'h3fe122f3;
333
RsqrteLUT[332] = 32'h3fe0cc15;
334
RsqrteLUT[333] = 32'h3fe0759c;
335
RsqrteLUT[334] = 32'h3fe01f86;
336
RsqrteLUT[335] = 32'h3fdfc9d3;
337
RsqrteLUT[336] = 32'h3fdf7482;
338
RsqrteLUT[337] = 32'h3fdf1f93;
339
RsqrteLUT[338] = 32'h3fdecb03;
340
RsqrteLUT[339] = 32'h3fde76d4;
341
RsqrteLUT[340] = 32'h3fde2304;
342
RsqrteLUT[341] = 32'h3fddcf92;
343
RsqrteLUT[342] = 32'h3fdd7c7f;
344
RsqrteLUT[343] = 32'h3fdd29c8;
345
RsqrteLUT[344] = 32'h3fdcd76d;
346
RsqrteLUT[345] = 32'h3fdc856f;
347
RsqrteLUT[346] = 32'h3fdc33cb;
348
RsqrteLUT[347] = 32'h3fdbe282;
349
RsqrteLUT[348] = 32'h3fdb9192;
350
RsqrteLUT[349] = 32'h3fdb40fc;
351
RsqrteLUT[350] = 32'h3fdaf0be;
352
RsqrteLUT[351] = 32'h3fdaa0d8;
353
RsqrteLUT[352] = 32'h3fda5149;
354
RsqrteLUT[353] = 32'h3fda0211;
355
RsqrteLUT[354] = 32'h3fd9b32f;
356
RsqrteLUT[355] = 32'h3fd964a2;
357
RsqrteLUT[356] = 32'h3fd9166a;
358
RsqrteLUT[357] = 32'h3fd8c886;
359
RsqrteLUT[358] = 32'h3fd87af6;
360
RsqrteLUT[359] = 32'h3fd82db9;
361
RsqrteLUT[360] = 32'h3fd7e0ce;
362
RsqrteLUT[361] = 32'h3fd79435;
363
RsqrteLUT[362] = 32'h3fd747ee;
364
RsqrteLUT[363] = 32'h3fd6fbf7;
365
RsqrteLUT[364] = 32'h3fd6b050;
366
RsqrteLUT[365] = 32'h3fd664f9;
367
RsqrteLUT[366] = 32'h3fd619f2;
368
RsqrteLUT[367] = 32'h3fd5cf38;
369
RsqrteLUT[368] = 32'h3fd584cd;
370
RsqrteLUT[369] = 32'h3fd53aaf;
371
RsqrteLUT[370] = 32'h3fd4f0de;
372
RsqrteLUT[371] = 32'h3fd4a75a;
373
RsqrteLUT[372] = 32'h3fd45e22;
374
RsqrteLUT[373] = 32'h3fd41535;
375
RsqrteLUT[374] = 32'h3fd3cc92;
376
RsqrteLUT[375] = 32'h3fd3843b;
377
RsqrteLUT[376] = 32'h3fd33c2d;
378
RsqrteLUT[377] = 32'h3fd2f469;
379
RsqrteLUT[378] = 32'h3fd2acee;
380
RsqrteLUT[379] = 32'h3fd265bb;
381
RsqrteLUT[380] = 32'h3fd21ed0;
382
RsqrteLUT[381] = 32'h3fd1d82d;
383
RsqrteLUT[382] = 32'h3fd191d0;
384
RsqrteLUT[383] = 32'h3fd14bbb;
385
RsqrteLUT[384] = 32'h3fd105eb;
386
RsqrteLUT[385] = 32'h3fd0c061;
387
RsqrteLUT[386] = 32'h3fd07b1c;
388
RsqrteLUT[387] = 32'h3fd0361d;
389
RsqrteLUT[388] = 32'h3fcff161;
390
RsqrteLUT[389] = 32'h3fcface9;
391
RsqrteLUT[390] = 32'h3fcf68b5;
392
RsqrteLUT[391] = 32'h3fcf24c4;
393
RsqrteLUT[392] = 32'h3fcee115;
394
RsqrteLUT[393] = 32'h3fce9da9;
395
RsqrteLUT[394] = 32'h3fce5a7e;
396
RsqrteLUT[395] = 32'h3fce1795;
397
RsqrteLUT[396] = 32'h3fcdd4ed;
398
RsqrteLUT[397] = 32'h3fcd9285;
399
RsqrteLUT[398] = 32'h3fcd505d;
400
RsqrteLUT[399] = 32'h3fcd0e75;
401
RsqrteLUT[400] = 32'h3fcccccc;
402
RsqrteLUT[401] = 32'h3fcc8b62;
403
RsqrteLUT[402] = 32'h3fcc4a37;
404
RsqrteLUT[403] = 32'h3fcc094a;
405
RsqrteLUT[404] = 32'h3fcbc89b;
406
RsqrteLUT[405] = 32'h3fcb8829;
407
RsqrteLUT[406] = 32'h3fcb47f3;
408
RsqrteLUT[407] = 32'h3fcb07fb;
409
RsqrteLUT[408] = 32'h3fcac83f;
410
RsqrteLUT[409] = 32'h3fca88bf;
411
RsqrteLUT[410] = 32'h3fca497a;
412
RsqrteLUT[411] = 32'h3fca0a70;
413
RsqrteLUT[412] = 32'h3fc9cba1;
414
RsqrteLUT[413] = 32'h3fc98d0d;
415
RsqrteLUT[414] = 32'h3fc94eb2;
416
RsqrteLUT[415] = 32'h3fc91092;
417
RsqrteLUT[416] = 32'h3fc8d2ab;
418
RsqrteLUT[417] = 32'h3fc894fc;
419
RsqrteLUT[418] = 32'h3fc85787;
420
RsqrteLUT[419] = 32'h3fc81a4a;
421
RsqrteLUT[420] = 32'h3fc7dd45;
422
RsqrteLUT[421] = 32'h3fc7a077;
423
RsqrteLUT[422] = 32'h3fc763e1;
424
RsqrteLUT[423] = 32'h3fc72782;
425
RsqrteLUT[424] = 32'h3fc6eb5a;
426
RsqrteLUT[425] = 32'h3fc6af68;
427
RsqrteLUT[426] = 32'h3fc673ac;
428
RsqrteLUT[427] = 32'h3fc63826;
429
RsqrteLUT[428] = 32'h3fc5fcd5;
430
RsqrteLUT[429] = 32'h3fc5c1b9;
431
RsqrteLUT[430] = 32'h3fc586d3;
432
RsqrteLUT[431] = 32'h3fc54c20;
433
RsqrteLUT[432] = 32'h3fc511a2;
434
RsqrteLUT[433] = 32'h3fc4d758;
435
RsqrteLUT[434] = 32'h3fc49d42;
436
RsqrteLUT[435] = 32'h3fc4635e;
437
RsqrteLUT[436] = 32'h3fc429ae;
438
RsqrteLUT[437] = 32'h3fc3f031;
439
RsqrteLUT[438] = 32'h3fc3b6e6;
440
RsqrteLUT[439] = 32'h3fc37dcd;
441
RsqrteLUT[440] = 32'h3fc344e6;
442
RsqrteLUT[441] = 32'h3fc30c30;
443
RsqrteLUT[442] = 32'h3fc2d3ac;
444
RsqrteLUT[443] = 32'h3fc29b59;
445
RsqrteLUT[444] = 32'h3fc26336;
446
RsqrteLUT[445] = 32'h3fc22b45;
447
RsqrteLUT[446] = 32'h3fc1f383;
448
RsqrteLUT[447] = 32'h3fc1bbf1;
449
RsqrteLUT[448] = 32'h3fc1848f;
450
RsqrteLUT[449] = 32'h3fc14d5c;
451
RsqrteLUT[450] = 32'h3fc11658;
452
RsqrteLUT[451] = 32'h3fc0df83;
453
RsqrteLUT[452] = 32'h3fc0a8dd;
454
RsqrteLUT[453] = 32'h3fc07265;
455
RsqrteLUT[454] = 32'h3fc03c1c;
456
RsqrteLUT[455] = 32'h3fc00600;
457
RsqrteLUT[456] = 32'h3fbfd011;
458
RsqrteLUT[457] = 32'h3fbf9a51;
459
RsqrteLUT[458] = 32'h3fbf64bd;
460
RsqrteLUT[459] = 32'h3fbf2f56;
461
RsqrteLUT[460] = 32'h3fbefa1b;
462
RsqrteLUT[461] = 32'h3fbec50d;
463
RsqrteLUT[462] = 32'h3fbe902b;
464
RsqrteLUT[463] = 32'h3fbe5b75;
465
RsqrteLUT[464] = 32'h3fbe26eb;
466
RsqrteLUT[465] = 32'h3fbdf28c;
467
RsqrteLUT[466] = 32'h3fbdbe58;
468
RsqrteLUT[467] = 32'h3fbd8a4f;
469
RsqrteLUT[468] = 32'h3fbd5671;
470
RsqrteLUT[469] = 32'h3fbd22bd;
471
RsqrteLUT[470] = 32'h3fbcef34;
472
RsqrteLUT[471] = 32'h3fbcbbd4;
473
RsqrteLUT[472] = 32'h3fbc889f;
474
RsqrteLUT[473] = 32'h3fbc5593;
475
RsqrteLUT[474] = 32'h3fbc22b0;
476
RsqrteLUT[475] = 32'h3fbbeff7;
477
RsqrteLUT[476] = 32'h3fbbbd66;
478
RsqrteLUT[477] = 32'h3fbb8aff;
479
RsqrteLUT[478] = 32'h3fbb58bf;
480
RsqrteLUT[479] = 32'h3fbb26a9;
481
RsqrteLUT[480] = 32'h3fbaf4ba;
482
RsqrteLUT[481] = 32'h3fbac2f3;
483
RsqrteLUT[482] = 32'h3fba9153;
484
RsqrteLUT[483] = 32'h3fba5fdc;
485
RsqrteLUT[484] = 32'h3fba2e8b;
486
RsqrteLUT[485] = 32'h3fb9fd62;
487
RsqrteLUT[486] = 32'h3fb9cc5f;
488
RsqrteLUT[487] = 32'h3fb99b83;
489
RsqrteLUT[488] = 32'h3fb96ace;
490
RsqrteLUT[489] = 32'h3fb93a3e;
491
RsqrteLUT[490] = 32'h3fb909d5;
492
RsqrteLUT[491] = 32'h3fb8d992;
493
RsqrteLUT[492] = 32'h3fb8a974;
494
RsqrteLUT[493] = 32'h3fb8797c;
495
RsqrteLUT[494] = 32'h3fb849aa;
496
RsqrteLUT[495] = 32'h3fb819fc;
497
RsqrteLUT[496] = 32'h3fb7ea73;
498
RsqrteLUT[497] = 32'h3fb7bb0f;
499
RsqrteLUT[498] = 32'h3fb78bd0;
500
RsqrteLUT[499] = 32'h3fb75cb5;
501
RsqrteLUT[500] = 32'h3fb72dbe;
502
RsqrteLUT[501] = 32'h3fb6feeb;
503
RsqrteLUT[502] = 32'h3fb6d03c;
504
RsqrteLUT[503] = 32'h3fb6a1b1;
505
RsqrteLUT[504] = 32'h3fb67349;
506
RsqrteLUT[505] = 32'h3fb64505;
507
RsqrteLUT[506] = 32'h3fb616e3;
508
RsqrteLUT[507] = 32'h3fb5e8e5;
509
RsqrteLUT[508] = 32'h3fb5bb09;
510
RsqrteLUT[509] = 32'h3fb58d50;
511
RsqrteLUT[510] = 32'h3fb55fb9;
512
RsqrteLUT[511] = 32'h3fb53245;
513
RsqrteLUT[512] = 32'h3fb504f3;
514
RsqrteLUT[513] = 32'h3fb4d7c2;
515
RsqrteLUT[514] = 32'h3fb4aab4;
516
RsqrteLUT[515] = 32'h3fb47dc7;
517
RsqrteLUT[516] = 32'h3fb450fc;
518
RsqrteLUT[517] = 32'h3fb42451;
519
RsqrteLUT[518] = 32'h3fb3f7c8;
520
RsqrteLUT[519] = 32'h3fb3cb60;
521
RsqrteLUT[520] = 32'h3fb39f19;
522
RsqrteLUT[521] = 32'h3fb372f2;
523
RsqrteLUT[522] = 32'h3fb346ec;
524
RsqrteLUT[523] = 32'h3fb31b06;
525
RsqrteLUT[524] = 32'h3fb2ef41;
526
RsqrteLUT[525] = 32'h3fb2c39b;
527
RsqrteLUT[526] = 32'h3fb29816;
528
RsqrteLUT[527] = 32'h3fb26cb0;
529
RsqrteLUT[528] = 32'h3fb24169;
530
RsqrteLUT[529] = 32'h3fb21642;
531
RsqrteLUT[530] = 32'h3fb1eb3b;
532
RsqrteLUT[531] = 32'h3fb1c052;
533
RsqrteLUT[532] = 32'h3fb19589;
534
RsqrteLUT[533] = 32'h3fb16ade;
535
RsqrteLUT[534] = 32'h3fb14052;
536
RsqrteLUT[535] = 32'h3fb115e4;
537
RsqrteLUT[536] = 32'h3fb0eb95;
538
RsqrteLUT[537] = 32'h3fb0c164;
539
RsqrteLUT[538] = 32'h3fb09752;
540
RsqrteLUT[539] = 32'h3fb06d5d;
541
RsqrteLUT[540] = 32'h3fb04386;
542
RsqrteLUT[541] = 32'h3fb019cd;
543
RsqrteLUT[542] = 32'h3faff032;
544
RsqrteLUT[543] = 32'h3fafc6b4;
545
RsqrteLUT[544] = 32'h3faf9d53;
546
RsqrteLUT[545] = 32'h3faf740f;
547
RsqrteLUT[546] = 32'h3faf4ae8;
548
RsqrteLUT[547] = 32'h3faf21df;
549
RsqrteLUT[548] = 32'h3faef8f2;
550
RsqrteLUT[549] = 32'h3faed022;
551
RsqrteLUT[550] = 32'h3faea76e;
552
RsqrteLUT[551] = 32'h3fae7ed6;
553
RsqrteLUT[552] = 32'h3fae565b;
554
RsqrteLUT[553] = 32'h3fae2dfc;
555
RsqrteLUT[554] = 32'h3fae05b9;
556
RsqrteLUT[555] = 32'h3faddd92;
557
RsqrteLUT[556] = 32'h3fadb587;
558
RsqrteLUT[557] = 32'h3fad8d97;
559
RsqrteLUT[558] = 32'h3fad65c3;
560
RsqrteLUT[559] = 32'h3fad3e0a;
561
RsqrteLUT[560] = 32'h3fad166c;
562
RsqrteLUT[561] = 32'h3faceee9;
563
RsqrteLUT[562] = 32'h3facc782;
564
RsqrteLUT[563] = 32'h3faca035;
565
RsqrteLUT[564] = 32'h3fac7903;
566
RsqrteLUT[565] = 32'h3fac51ec;
567
RsqrteLUT[566] = 32'h3fac2aef;
568
RsqrteLUT[567] = 32'h3fac040d;
569
RsqrteLUT[568] = 32'h3fabdd45;
570
RsqrteLUT[569] = 32'h3fabb697;
571
RsqrteLUT[570] = 32'h3fab9003;
572
RsqrteLUT[571] = 32'h3fab698a;
573
RsqrteLUT[572] = 32'h3fab432a;
574
RsqrteLUT[573] = 32'h3fab1ce4;
575
RsqrteLUT[574] = 32'h3faaf6b7;
576
RsqrteLUT[575] = 32'h3faad0a4;
577
RsqrteLUT[576] = 32'h3faaaaaa;
578
RsqrteLUT[577] = 32'h3faa84ca;
579
RsqrteLUT[578] = 32'h3faa5f03;
580
RsqrteLUT[579] = 32'h3faa3954;
581
RsqrteLUT[580] = 32'h3faa13bf;
582
RsqrteLUT[581] = 32'h3fa9ee43;
583
RsqrteLUT[582] = 32'h3fa9c8df;
584
RsqrteLUT[583] = 32'h3fa9a394;
585
RsqrteLUT[584] = 32'h3fa97e62;
586
RsqrteLUT[585] = 32'h3fa95948;
587
RsqrteLUT[586] = 32'h3fa93446;
588
RsqrteLUT[587] = 32'h3fa90f5c;
589
RsqrteLUT[588] = 32'h3fa8ea8b;
590
RsqrteLUT[589] = 32'h3fa8c5d2;
591
RsqrteLUT[590] = 32'h3fa8a130;
592
RsqrteLUT[591] = 32'h3fa87ca7;
593
RsqrteLUT[592] = 32'h3fa85835;
594
RsqrteLUT[593] = 32'h3fa833da;
595
RsqrteLUT[594] = 32'h3fa80f98;
596
RsqrteLUT[595] = 32'h3fa7eb6c;
597
RsqrteLUT[596] = 32'h3fa7c758;
598
RsqrteLUT[597] = 32'h3fa7a35b;
599
RsqrteLUT[598] = 32'h3fa77f76;
600
RsqrteLUT[599] = 32'h3fa75ba7;
601
RsqrteLUT[600] = 32'h3fa737ef;
602
RsqrteLUT[601] = 32'h3fa7144e;
603
RsqrteLUT[602] = 32'h3fa6f0c4;
604
RsqrteLUT[603] = 32'h3fa6cd50;
605
RsqrteLUT[604] = 32'h3fa6a9f3;
606
RsqrteLUT[605] = 32'h3fa686ad;
607
RsqrteLUT[606] = 32'h3fa6637d;
608
RsqrteLUT[607] = 32'h3fa64063;
609
RsqrteLUT[608] = 32'h3fa61d5f;
610
RsqrteLUT[609] = 32'h3fa5fa71;
611
RsqrteLUT[610] = 32'h3fa5d799;
612
RsqrteLUT[611] = 32'h3fa5b4d8;
613
RsqrteLUT[612] = 32'h3fa5922c;
614
RsqrteLUT[613] = 32'h3fa56f95;
615
RsqrteLUT[614] = 32'h3fa54d15;
616
RsqrteLUT[615] = 32'h3fa52aaa;
617
RsqrteLUT[616] = 32'h3fa50854;
618
RsqrteLUT[617] = 32'h3fa4e614;
619
RsqrteLUT[618] = 32'h3fa4c3e9;
620
RsqrteLUT[619] = 32'h3fa4a1d3;
621
RsqrteLUT[620] = 32'h3fa47fd3;
622
RsqrteLUT[621] = 32'h3fa45de7;
623
RsqrteLUT[622] = 32'h3fa43c11;
624
RsqrteLUT[623] = 32'h3fa41a4f;
625
RsqrteLUT[624] = 32'h3fa3f8a2;
626
RsqrteLUT[625] = 32'h3fa3d70a;
627
RsqrteLUT[626] = 32'h3fa3b586;
628
RsqrteLUT[627] = 32'h3fa39417;
629
RsqrteLUT[628] = 32'h3fa372bc;
630
RsqrteLUT[629] = 32'h3fa35176;
631
RsqrteLUT[630] = 32'h3fa33044;
632
RsqrteLUT[631] = 32'h3fa30f26;
633
RsqrteLUT[632] = 32'h3fa2ee1d;
634
RsqrteLUT[633] = 32'h3fa2cd27;
635
RsqrteLUT[634] = 32'h3fa2ac45;
636
RsqrteLUT[635] = 32'h3fa28b78;
637
RsqrteLUT[636] = 32'h3fa26abe;
638
RsqrteLUT[637] = 32'h3fa24a18;
639
RsqrteLUT[638] = 32'h3fa22985;
640
RsqrteLUT[639] = 32'h3fa20906;
641
RsqrteLUT[640] = 32'h3fa1e89b;
642
RsqrteLUT[641] = 32'h3fa1c843;
643
RsqrteLUT[642] = 32'h3fa1a7fe;
644
RsqrteLUT[643] = 32'h3fa187cc;
645
RsqrteLUT[644] = 32'h3fa167ae;
646
RsqrteLUT[645] = 32'h3fa147a3;
647
RsqrteLUT[646] = 32'h3fa127ab;
648
RsqrteLUT[647] = 32'h3fa107c6;
649
RsqrteLUT[648] = 32'h3fa0e7f4;
650
RsqrteLUT[649] = 32'h3fa0c835;
651
RsqrteLUT[650] = 32'h3fa0a888;
652
RsqrteLUT[651] = 32'h3fa088ef;
653
RsqrteLUT[652] = 32'h3fa06967;
654
RsqrteLUT[653] = 32'h3fa049f3;
655
RsqrteLUT[654] = 32'h3fa02a90;
656
RsqrteLUT[655] = 32'h3fa00b41;
657
RsqrteLUT[656] = 32'h3f9fec03;
658
RsqrteLUT[657] = 32'h3f9fccd8;
659
RsqrteLUT[658] = 32'h3f9fadbf;
660
RsqrteLUT[659] = 32'h3f9f8eb8;
661
RsqrteLUT[660] = 32'h3f9f6fc3;
662
RsqrteLUT[661] = 32'h3f9f50e1;
663
RsqrteLUT[662] = 32'h3f9f3210;
664
RsqrteLUT[663] = 32'h3f9f1351;
665
RsqrteLUT[664] = 32'h3f9ef4a4;
666
RsqrteLUT[665] = 32'h3f9ed608;
667
RsqrteLUT[666] = 32'h3f9eb77e;
668
RsqrteLUT[667] = 32'h3f9e9906;
669
RsqrteLUT[668] = 32'h3f9e7a9f;
670
RsqrteLUT[669] = 32'h3f9e5c4a;
671
RsqrteLUT[670] = 32'h3f9e3e06;
672
RsqrteLUT[671] = 32'h3f9e1fd3;
673
RsqrteLUT[672] = 32'h3f9e01b2;
674
RsqrteLUT[673] = 32'h3f9de3a2;
675
RsqrteLUT[674] = 32'h3f9dc5a3;
676
RsqrteLUT[675] = 32'h3f9da7b5;
677
RsqrteLUT[676] = 32'h3f9d89d8;
678
RsqrteLUT[677] = 32'h3f9d6c0c;
679
RsqrteLUT[678] = 32'h3f9d4e51;
680
RsqrteLUT[679] = 32'h3f9d30a7;
681
RsqrteLUT[680] = 32'h3f9d130d;
682
RsqrteLUT[681] = 32'h3f9cf585;
683
RsqrteLUT[682] = 32'h3f9cd80c;
684
RsqrteLUT[683] = 32'h3f9cbaa5;
685
RsqrteLUT[684] = 32'h3f9c9d4e;
686
RsqrteLUT[685] = 32'h3f9c8007;
687
RsqrteLUT[686] = 32'h3f9c62d1;
688
RsqrteLUT[687] = 32'h3f9c45ab;
689
RsqrteLUT[688] = 32'h3f9c2895;
690
RsqrteLUT[689] = 32'h3f9c0b90;
691
RsqrteLUT[690] = 32'h3f9bee9b;
692
RsqrteLUT[691] = 32'h3f9bd1b6;
693
RsqrteLUT[692] = 32'h3f9bb4e0;
694
RsqrteLUT[693] = 32'h3f9b981b;
695
RsqrteLUT[694] = 32'h3f9b7b66;
696
RsqrteLUT[695] = 32'h3f9b5ec1;
697
RsqrteLUT[696] = 32'h3f9b422b;
698
RsqrteLUT[697] = 32'h3f9b25a5;
699
RsqrteLUT[698] = 32'h3f9b092f;
700
RsqrteLUT[699] = 32'h3f9aecc9;
701
RsqrteLUT[700] = 32'h3f9ad072;
702
RsqrteLUT[701] = 32'h3f9ab42b;
703
RsqrteLUT[702] = 32'h3f9a97f3;
704
RsqrteLUT[703] = 32'h3f9a7bca;
705
RsqrteLUT[704] = 32'h3f9a5fb1;
706
RsqrteLUT[705] = 32'h3f9a43a8;
707
RsqrteLUT[706] = 32'h3f9a27ad;
708
RsqrteLUT[707] = 32'h3f9a0bc2;
709
RsqrteLUT[708] = 32'h3f99efe6;
710
RsqrteLUT[709] = 32'h3f99d419;
711
RsqrteLUT[710] = 32'h3f99b85b;
712
RsqrteLUT[711] = 32'h3f999cac;
713
RsqrteLUT[712] = 32'h3f99810c;
714
RsqrteLUT[713] = 32'h3f99657a;
715
RsqrteLUT[714] = 32'h3f9949f8;
716
RsqrteLUT[715] = 32'h3f992e84;
717
RsqrteLUT[716] = 32'h3f99131f;
718
RsqrteLUT[717] = 32'h3f98f7c9;
719
RsqrteLUT[718] = 32'h3f98dc82;
720
RsqrteLUT[719] = 32'h3f98c149;
721
RsqrteLUT[720] = 32'h3f98a61e;
722
RsqrteLUT[721] = 32'h3f988b02;
723
RsqrteLUT[722] = 32'h3f986ff5;
724
RsqrteLUT[723] = 32'h3f9854f6;
725
RsqrteLUT[724] = 32'h3f983a05;
726
RsqrteLUT[725] = 32'h3f981f22;
727
RsqrteLUT[726] = 32'h3f98044e;
728
RsqrteLUT[727] = 32'h3f97e987;
729
RsqrteLUT[728] = 32'h3f97cecf;
730
RsqrteLUT[729] = 32'h3f97b425;
731
RsqrteLUT[730] = 32'h3f979989;
732
RsqrteLUT[731] = 32'h3f977efb;
733
RsqrteLUT[732] = 32'h3f97647b;
734
RsqrteLUT[733] = 32'h3f974a09;
735
RsqrteLUT[734] = 32'h3f972fa5;
736
RsqrteLUT[735] = 32'h3f97154e;
737
RsqrteLUT[736] = 32'h3f96fb06;
738
RsqrteLUT[737] = 32'h3f96e0cb;
739
RsqrteLUT[738] = 32'h3f96c69d;
740
RsqrteLUT[739] = 32'h3f96ac7d;
741
RsqrteLUT[740] = 32'h3f96926b;
742
RsqrteLUT[741] = 32'h3f967866;
743
RsqrteLUT[742] = 32'h3f965e6f;
744
RsqrteLUT[743] = 32'h3f964485;
745
RsqrteLUT[744] = 32'h3f962aa9;
746
RsqrteLUT[745] = 32'h3f9610da;
747
RsqrteLUT[746] = 32'h3f95f718;
748
RsqrteLUT[747] = 32'h3f95dd63;
749
RsqrteLUT[748] = 32'h3f95c3bc;
750
RsqrteLUT[749] = 32'h3f95aa22;
751
RsqrteLUT[750] = 32'h3f959094;
752
RsqrteLUT[751] = 32'h3f957714;
753
RsqrteLUT[752] = 32'h3f955da1;
754
RsqrteLUT[753] = 32'h3f95443b;
755
RsqrteLUT[754] = 32'h3f952ae2;
756
RsqrteLUT[755] = 32'h3f951196;
757
RsqrteLUT[756] = 32'h3f94f857;
758
RsqrteLUT[757] = 32'h3f94df24;
759
RsqrteLUT[758] = 32'h3f94c5fe;
760
RsqrteLUT[759] = 32'h3f94ace5;
761
RsqrteLUT[760] = 32'h3f9493d9;
762
RsqrteLUT[761] = 32'h3f947ad9;
763
RsqrteLUT[762] = 32'h3f9461e6;
764
RsqrteLUT[763] = 32'h3f9448ff;
765
RsqrteLUT[764] = 32'h3f943025;
766
RsqrteLUT[765] = 32'h3f941758;
767
RsqrteLUT[766] = 32'h3f93fe97;
768
RsqrteLUT[767] = 32'h3f93e5e2;
769
RsqrteLUT[768] = 32'h3f93cd3a;
770
RsqrteLUT[769] = 32'h3f93b49e;
771
RsqrteLUT[770] = 32'h3f939c0e;
772
RsqrteLUT[771] = 32'h3f93838a;
773
RsqrteLUT[772] = 32'h3f936b13;
774
RsqrteLUT[773] = 32'h3f9352a8;
775
RsqrteLUT[774] = 32'h3f933a49;
776
RsqrteLUT[775] = 32'h3f9321f6;
777
RsqrteLUT[776] = 32'h3f9309af;
778
RsqrteLUT[777] = 32'h3f92f174;
779
RsqrteLUT[778] = 32'h3f92d945;
780
RsqrteLUT[779] = 32'h3f92c122;
781
RsqrteLUT[780] = 32'h3f92a90b;
782
RsqrteLUT[781] = 32'h3f9290ff;
783
RsqrteLUT[782] = 32'h3f927900;
784
RsqrteLUT[783] = 32'h3f92610c;
785
RsqrteLUT[784] = 32'h3f924924;
786
RsqrteLUT[785] = 32'h3f923148;
787
RsqrteLUT[786] = 32'h3f921977;
788
RsqrteLUT[787] = 32'h3f9201b2;
789
RsqrteLUT[788] = 32'h3f91e9f9;
790
RsqrteLUT[789] = 32'h3f91d24b;
791
RsqrteLUT[790] = 32'h3f91baa8;
792
RsqrteLUT[791] = 32'h3f91a312;
793
RsqrteLUT[792] = 32'h3f918b86;
794
RsqrteLUT[793] = 32'h3f917406;
795
RsqrteLUT[794] = 32'h3f915c91;
796
RsqrteLUT[795] = 32'h3f914528;
797
RsqrteLUT[796] = 32'h3f912dca;
798
RsqrteLUT[797] = 32'h3f911677;
799
RsqrteLUT[798] = 32'h3f90ff30;
800
RsqrteLUT[799] = 32'h3f90e7f3;
801
RsqrteLUT[800] = 32'h3f90d0c2;
802
RsqrteLUT[801] = 32'h3f90b99c;
803
RsqrteLUT[802] = 32'h3f90a281;
804
RsqrteLUT[803] = 32'h3f908b71;
805
RsqrteLUT[804] = 32'h3f90746c;
806
RsqrteLUT[805] = 32'h3f905d72;
807
RsqrteLUT[806] = 32'h3f904683;
808
RsqrteLUT[807] = 32'h3f902f9f;
809
RsqrteLUT[808] = 32'h3f9018c6;
810
RsqrteLUT[809] = 32'h3f9001f8;
811
RsqrteLUT[810] = 32'h3f8feb34;
812
RsqrteLUT[811] = 32'h3f8fd47b;
813
RsqrteLUT[812] = 32'h3f8fbdcd;
814
RsqrteLUT[813] = 32'h3f8fa72a;
815
RsqrteLUT[814] = 32'h3f8f9091;
816
RsqrteLUT[815] = 32'h3f8f7a03;
817
RsqrteLUT[816] = 32'h3f8f6380;
818
RsqrteLUT[817] = 32'h3f8f4d07;
819
RsqrteLUT[818] = 32'h3f8f3699;
820
RsqrteLUT[819] = 32'h3f8f2035;
821
RsqrteLUT[820] = 32'h3f8f09dc;
822
RsqrteLUT[821] = 32'h3f8ef38e;
823
RsqrteLUT[822] = 32'h3f8edd49;
824
RsqrteLUT[823] = 32'h3f8ec70f;
825
RsqrteLUT[824] = 32'h3f8eb0e0;
826
RsqrteLUT[825] = 32'h3f8e9aba;
827
RsqrteLUT[826] = 32'h3f8e84a0;
828
RsqrteLUT[827] = 32'h3f8e6e8f;
829
RsqrteLUT[828] = 32'h3f8e5888;
830
RsqrteLUT[829] = 32'h3f8e428c;
831
RsqrteLUT[830] = 32'h3f8e2c9a;
832
RsqrteLUT[831] = 32'h3f8e16b2;
833
RsqrteLUT[832] = 32'h3f8e00d5;
834
RsqrteLUT[833] = 32'h3f8deb01;
835
RsqrteLUT[834] = 32'h3f8dd537;
836
RsqrteLUT[835] = 32'h3f8dbf78;
837
RsqrteLUT[836] = 32'h3f8da9c2;
838
RsqrteLUT[837] = 32'h3f8d9416;
839
RsqrteLUT[838] = 32'h3f8d7e74;
840
RsqrteLUT[839] = 32'h3f8d68dd;
841
RsqrteLUT[840] = 32'h3f8d534f;
842
RsqrteLUT[841] = 32'h3f8d3dcb;
843
RsqrteLUT[842] = 32'h3f8d2850;
844
RsqrteLUT[843] = 32'h3f8d12e0;
845
RsqrteLUT[844] = 32'h3f8cfd79;
846
RsqrteLUT[845] = 32'h3f8ce81c;
847
RsqrteLUT[846] = 32'h3f8cd2c9;
848
RsqrteLUT[847] = 32'h3f8cbd7f;
849
RsqrteLUT[848] = 32'h3f8ca83f;
850
RsqrteLUT[849] = 32'h3f8c9309;
851
RsqrteLUT[850] = 32'h3f8c7ddc;
852
RsqrteLUT[851] = 32'h3f8c68b8;
853
RsqrteLUT[852] = 32'h3f8c539f;
854
RsqrteLUT[853] = 32'h3f8c3e8e;
855
RsqrteLUT[854] = 32'h3f8c2988;
856
RsqrteLUT[855] = 32'h3f8c148a;
857
RsqrteLUT[856] = 32'h3f8bff97;
858
RsqrteLUT[857] = 32'h3f8beaac;
859
RsqrteLUT[858] = 32'h3f8bd5cb;
860
RsqrteLUT[859] = 32'h3f8bc0f3;
861
RsqrteLUT[860] = 32'h3f8bac25;
862
RsqrteLUT[861] = 32'h3f8b975f;
863
RsqrteLUT[862] = 32'h3f8b82a3;
864
RsqrteLUT[863] = 32'h3f8b6df1;
865
RsqrteLUT[864] = 32'h3f8b5947;
866
RsqrteLUT[865] = 32'h3f8b44a7;
867
RsqrteLUT[866] = 32'h3f8b3010;
868
RsqrteLUT[867] = 32'h3f8b1b82;
869
RsqrteLUT[868] = 32'h3f8b06fd;
870
RsqrteLUT[869] = 32'h3f8af281;
871
RsqrteLUT[870] = 32'h3f8ade0e;
872
RsqrteLUT[871] = 32'h3f8ac9a4;
873
RsqrteLUT[872] = 32'h3f8ab543;
874
RsqrteLUT[873] = 32'h3f8aa0eb;
875
RsqrteLUT[874] = 32'h3f8a8c9c;
876
RsqrteLUT[875] = 32'h3f8a7856;
877
RsqrteLUT[876] = 32'h3f8a6419;
878
RsqrteLUT[877] = 32'h3f8a4fe5;
879
RsqrteLUT[878] = 32'h3f8a3bb9;
880
RsqrteLUT[879] = 32'h3f8a2797;
881
RsqrteLUT[880] = 32'h3f8a137d;
882
RsqrteLUT[881] = 32'h3f89ff6c;
883
RsqrteLUT[882] = 32'h3f89eb63;
884
RsqrteLUT[883] = 32'h3f89d764;
885
RsqrteLUT[884] = 32'h3f89c36d;
886
RsqrteLUT[885] = 32'h3f89af7f;
887
RsqrteLUT[886] = 32'h3f899b99;
888
RsqrteLUT[887] = 32'h3f8987bc;
889
RsqrteLUT[888] = 32'h3f8973e8;
890
RsqrteLUT[889] = 32'h3f89601c;
891
RsqrteLUT[890] = 32'h3f894c58;
892
RsqrteLUT[891] = 32'h3f89389e;
893
RsqrteLUT[892] = 32'h3f8924eb;
894
RsqrteLUT[893] = 32'h3f891142;
895
RsqrteLUT[894] = 32'h3f88fda0;
896
RsqrteLUT[895] = 32'h3f88ea07;
897
RsqrteLUT[896] = 32'h3f88d677;
898
RsqrteLUT[897] = 32'h3f88c2ef;
899
RsqrteLUT[898] = 32'h3f88af6f;
900
RsqrteLUT[899] = 32'h3f889bf7;
901
RsqrteLUT[900] = 32'h3f888888;
902
RsqrteLUT[901] = 32'h3f887521;
903
RsqrteLUT[902] = 32'h3f8861c3;
904
RsqrteLUT[903] = 32'h3f884e6c;
905
RsqrteLUT[904] = 32'h3f883b1e;
906
RsqrteLUT[905] = 32'h3f8827d8;
907
RsqrteLUT[906] = 32'h3f88149a;
908
RsqrteLUT[907] = 32'h3f880165;
909
RsqrteLUT[908] = 32'h3f87ee37;
910
RsqrteLUT[909] = 32'h3f87db12;
911
RsqrteLUT[910] = 32'h3f87c7f4;
912
RsqrteLUT[911] = 32'h3f87b4df;
913
RsqrteLUT[912] = 32'h3f87a1d2;
914
RsqrteLUT[913] = 32'h3f878ecc;
915
RsqrteLUT[914] = 32'h3f877bcf;
916
RsqrteLUT[915] = 32'h3f8768da;
917
RsqrteLUT[916] = 32'h3f8755ed;
918
RsqrteLUT[917] = 32'h3f874307;
919
RsqrteLUT[918] = 32'h3f87302a;
920
RsqrteLUT[919] = 32'h3f871d54;
921
RsqrteLUT[920] = 32'h3f870a86;
922
RsqrteLUT[921] = 32'h3f86f7c1;
923
RsqrteLUT[922] = 32'h3f86e502;
924
RsqrteLUT[923] = 32'h3f86d24c;
925
RsqrteLUT[924] = 32'h3f86bf9e;
926
RsqrteLUT[925] = 32'h3f86acf7;
927
RsqrteLUT[926] = 32'h3f869a58;
928
RsqrteLUT[927] = 32'h3f8687c1;
929
RsqrteLUT[928] = 32'h3f867531;
930
RsqrteLUT[929] = 32'h3f8662a9;
931
RsqrteLUT[930] = 32'h3f865029;
932
RsqrteLUT[931] = 32'h3f863db0;
933
RsqrteLUT[932] = 32'h3f862b3f;
934
RsqrteLUT[933] = 32'h3f8618d6;
935
RsqrteLUT[934] = 32'h3f860674;
936
RsqrteLUT[935] = 32'h3f85f41a;
937
RsqrteLUT[936] = 32'h3f85e1c7;
938
RsqrteLUT[937] = 32'h3f85cf7c;
939
RsqrteLUT[938] = 32'h3f85bd38;
940
RsqrteLUT[939] = 32'h3f85aafc;
941
RsqrteLUT[940] = 32'h3f8598c7;
942
RsqrteLUT[941] = 32'h3f858699;
943
RsqrteLUT[942] = 32'h3f857473;
944
RsqrteLUT[943] = 32'h3f856255;
945
RsqrteLUT[944] = 32'h3f85503d;
946
RsqrteLUT[945] = 32'h3f853e2e;
947
RsqrteLUT[946] = 32'h3f852c25;
948
RsqrteLUT[947] = 32'h3f851a24;
949
RsqrteLUT[948] = 32'h3f85082a;
950
RsqrteLUT[949] = 32'h3f84f637;
951
RsqrteLUT[950] = 32'h3f84e44c;
952
RsqrteLUT[951] = 32'h3f84d268;
953
RsqrteLUT[952] = 32'h3f84c08b;
954
RsqrteLUT[953] = 32'h3f84aeb5;
955
RsqrteLUT[954] = 32'h3f849ce6;
956
RsqrteLUT[955] = 32'h3f848b1f;
957
RsqrteLUT[956] = 32'h3f84795f;
958
RsqrteLUT[957] = 32'h3f8467a5;
959
RsqrteLUT[958] = 32'h3f8455f3;
960
RsqrteLUT[959] = 32'h3f844448;
961
RsqrteLUT[960] = 32'h3f8432a5;
962
RsqrteLUT[961] = 32'h3f842108;
963
RsqrteLUT[962] = 32'h3f840f72;
964
RsqrteLUT[963] = 32'h3f83fde3;
965
RsqrteLUT[964] = 32'h3f83ec5b;
966
RsqrteLUT[965] = 32'h3f83dadb;
967
RsqrteLUT[966] = 32'h3f83c961;
968
RsqrteLUT[967] = 32'h3f83b7ee;
969
RsqrteLUT[968] = 32'h3f83a682;
970
RsqrteLUT[969] = 32'h3f83951d;
971
RsqrteLUT[970] = 32'h3f8383bf;
972
RsqrteLUT[971] = 32'h3f837267;
973
RsqrteLUT[972] = 32'h3f836117;
974
RsqrteLUT[973] = 32'h3f834fcd;
975
RsqrteLUT[974] = 32'h3f833e8a;
976
RsqrteLUT[975] = 32'h3f832d4e;
977
RsqrteLUT[976] = 32'h3f831c19;
978
RsqrteLUT[977] = 32'h3f830aeb;
979
RsqrteLUT[978] = 32'h3f82f9c3;
980
RsqrteLUT[979] = 32'h3f82e8a2;
981
RsqrteLUT[980] = 32'h3f82d788;
982
RsqrteLUT[981] = 32'h3f82c674;
983
RsqrteLUT[982] = 32'h3f82b567;
984
RsqrteLUT[983] = 32'h3f82a461;
985
RsqrteLUT[984] = 32'h3f829361;
986
RsqrteLUT[985] = 32'h3f828268;
987
RsqrteLUT[986] = 32'h3f827176;
988
RsqrteLUT[987] = 32'h3f82608a;
989
RsqrteLUT[988] = 32'h3f824fa5;
990
RsqrteLUT[989] = 32'h3f823ec6;
991
RsqrteLUT[990] = 32'h3f822dee;
992
RsqrteLUT[991] = 32'h3f821d1d;
993
RsqrteLUT[992] = 32'h3f820c52;
994
RsqrteLUT[993] = 32'h3f81fb8d;
995
RsqrteLUT[994] = 32'h3f81eacf;
996
RsqrteLUT[995] = 32'h3f81da18;
997
RsqrteLUT[996] = 32'h3f81c966;
998
RsqrteLUT[997] = 32'h3f81b8bc;
999
RsqrteLUT[998] = 32'h3f81a817;
1000
RsqrteLUT[999] = 32'h3f819779;
1001
RsqrteLUT[1000] = 32'h3f8186e2;
1002
RsqrteLUT[1001] = 32'h3f817651;
1003
RsqrteLUT[1002] = 32'h3f8165c6;
1004
RsqrteLUT[1003] = 32'h3f815542;
1005
RsqrteLUT[1004] = 32'h3f8144c3;
1006
RsqrteLUT[1005] = 32'h3f81344c;
1007
RsqrteLUT[1006] = 32'h3f8123da;
1008
RsqrteLUT[1007] = 32'h3f81136f;
1009
RsqrteLUT[1008] = 32'h3f81030a;
1010
RsqrteLUT[1009] = 32'h3f80f2ab;
1011
RsqrteLUT[1010] = 32'h3f80e252;
1012
RsqrteLUT[1011] = 32'h3f80d200;
1013
RsqrteLUT[1012] = 32'h3f80c1b4;
1014
RsqrteLUT[1013] = 32'h3f80b16e;
1015
RsqrteLUT[1014] = 32'h3f80a12e;
1016
RsqrteLUT[1015] = 32'h3f8090f4;
1017
RsqrteLUT[1016] = 32'h3f8080c1;
1018
RsqrteLUT[1017] = 32'h3f807093;
1019
RsqrteLUT[1018] = 32'h3f80606c;
1020
RsqrteLUT[1019] = 32'h3f80504b;
1021
RsqrteLUT[1020] = 32'h3f804030;
1022
RsqrteLUT[1021] = 32'h3f80301b;
1023
RsqrteLUT[1022] = 32'h3f80200c;
1024
RsqrteLUT[1023] = 32'h3f801003;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.