OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog2/] [SigTbl.ver] - Blame information for rev 84

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 robfinch
SigmoidLUT[0] = 32'h0015fa3d;
2
SigmoidLUT[1] = 32'h001652cf;
3
SigmoidLUT[2] = 32'h0016acc6;
4
SigmoidLUT[3] = 32'h00170827;
5
SigmoidLUT[4] = 32'h001764f9;
6
SigmoidLUT[5] = 32'h0017c340;
7
SigmoidLUT[6] = 32'h00182303;
8
SigmoidLUT[7] = 32'h00188448;
9
SigmoidLUT[8] = 32'h0018e715;
10
SigmoidLUT[9] = 32'h00194b6f;
11
SigmoidLUT[10] = 32'h0019b15e;
12
SigmoidLUT[11] = 32'h001a18e8;
13
SigmoidLUT[12] = 32'h001a8212;
14
SigmoidLUT[13] = 32'h001aece5;
15
SigmoidLUT[14] = 32'h001b5965;
16
SigmoidLUT[15] = 32'h001bc79b;
17
SigmoidLUT[16] = 32'h001c378c;
18
SigmoidLUT[17] = 32'h001ca940;
19
SigmoidLUT[18] = 32'h001d1cbf;
20
SigmoidLUT[19] = 32'h001d920e;
21
SigmoidLUT[20] = 32'h001e0936;
22
SigmoidLUT[21] = 32'h001e823e;
23
SigmoidLUT[22] = 32'h001efd2e;
24
SigmoidLUT[23] = 32'h001f7a0c;
25
SigmoidLUT[24] = 32'h001ff8e2;
26
SigmoidLUT[25] = 32'h002079b6;
27
SigmoidLUT[26] = 32'h0020fc91;
28
SigmoidLUT[27] = 32'h0021817b;
29
SigmoidLUT[28] = 32'h0022087d;
30
SigmoidLUT[29] = 32'h0022919e;
31
SigmoidLUT[30] = 32'h00231ce7;
32
SigmoidLUT[31] = 32'h0023aa62;
33
SigmoidLUT[32] = 32'h00243a16;
34
SigmoidLUT[33] = 32'h0024cc0c;
35
SigmoidLUT[34] = 32'h0025604f;
36
SigmoidLUT[35] = 32'h0025f6e6;
37
SigmoidLUT[36] = 32'h00268fdc;
38
SigmoidLUT[37] = 32'h00272b3a;
39
SigmoidLUT[38] = 32'h0027c909;
40
SigmoidLUT[39] = 32'h00286954;
41
SigmoidLUT[40] = 32'h00290c24;
42
SigmoidLUT[41] = 32'h0029b184;
43
SigmoidLUT[42] = 32'h002a597e;
44
SigmoidLUT[43] = 32'h002b041b;
45
SigmoidLUT[44] = 32'h002bb168;
46
SigmoidLUT[45] = 32'h002c616f;
47
SigmoidLUT[46] = 32'h002d143a;
48
SigmoidLUT[47] = 32'h002dc9d5;
49
SigmoidLUT[48] = 32'h002e824b;
50
SigmoidLUT[49] = 32'h002f3da7;
51
SigmoidLUT[50] = 32'h002ffbf6;
52
SigmoidLUT[51] = 32'h0030bd43;
53
SigmoidLUT[52] = 32'h0031819a;
54
SigmoidLUT[53] = 32'h00324907;
55
SigmoidLUT[54] = 32'h00331397;
56
SigmoidLUT[55] = 32'h0033e157;
57
SigmoidLUT[56] = 32'h0034b252;
58
SigmoidLUT[57] = 32'h00358697;
59
SigmoidLUT[58] = 32'h00365e32;
60
SigmoidLUT[59] = 32'h00373931;
61
SigmoidLUT[60] = 32'h003817a1;
62
SigmoidLUT[61] = 32'h0038f990;
63
SigmoidLUT[62] = 32'h0039df0d;
64
SigmoidLUT[63] = 32'h003ac825;
65
SigmoidLUT[64] = 32'h003bb4e7;
66
SigmoidLUT[65] = 32'h003ca561;
67
SigmoidLUT[66] = 32'h003d99a4;
68
SigmoidLUT[67] = 32'h003e91bd;
69
SigmoidLUT[68] = 32'h003f8dbc;
70
SigmoidLUT[69] = 32'h00408db2;
71
SigmoidLUT[70] = 32'h004191ad;
72
SigmoidLUT[71] = 32'h004299be;
73
SigmoidLUT[72] = 32'h0043a5f6;
74
SigmoidLUT[73] = 32'h0044b665;
75
SigmoidLUT[74] = 32'h0045cb1b;
76
SigmoidLUT[75] = 32'h0046e42b;
77
SigmoidLUT[76] = 32'h004801a6;
78
SigmoidLUT[77] = 32'h0049239c;
79
SigmoidLUT[78] = 32'h004a4a21;
80
SigmoidLUT[79] = 32'h004b7547;
81
SigmoidLUT[80] = 32'h004ca520;
82
SigmoidLUT[81] = 32'h004dd9bf;
83
SigmoidLUT[82] = 32'h004f1337;
84
SigmoidLUT[83] = 32'h0050519c;
85
SigmoidLUT[84] = 32'h00519501;
86
SigmoidLUT[85] = 32'h0052dd7a;
87
SigmoidLUT[86] = 32'h00542b1d;
88
SigmoidLUT[87] = 32'h00557dfd;
89
SigmoidLUT[88] = 32'h0056d62f;
90
SigmoidLUT[89] = 32'h005833ca;
91
SigmoidLUT[90] = 32'h005996e2;
92
SigmoidLUT[91] = 32'h005aff8e;
93
SigmoidLUT[92] = 32'h005c6de3;
94
SigmoidLUT[93] = 32'h005de1f9;
95
SigmoidLUT[94] = 32'h005f5be7;
96
SigmoidLUT[95] = 32'h0060dbc4;
97
SigmoidLUT[96] = 32'h006261a8;
98
SigmoidLUT[97] = 32'h0063edab;
99
SigmoidLUT[98] = 32'h00657fe6;
100
SigmoidLUT[99] = 32'h00671871;
101
SigmoidLUT[100] = 32'h0068b766;
102
SigmoidLUT[101] = 32'h006a5cde;
103
SigmoidLUT[102] = 32'h006c08f4;
104
SigmoidLUT[103] = 32'h006dbbc2;
105
SigmoidLUT[104] = 32'h006f7563;
106
SigmoidLUT[105] = 32'h007135f2;
107
SigmoidLUT[106] = 32'h0072fd8b;
108
SigmoidLUT[107] = 32'h0074cc4a;
109
SigmoidLUT[108] = 32'h0076a24d;
110
SigmoidLUT[109] = 32'h00787faf;
111
SigmoidLUT[110] = 32'h007a648e;
112
SigmoidLUT[111] = 32'h007c5109;
113
SigmoidLUT[112] = 32'h007e453e;
114
SigmoidLUT[113] = 32'h0080414b;
115
SigmoidLUT[114] = 32'h00824551;
116
SigmoidLUT[115] = 32'h0084516e;
117
SigmoidLUT[116] = 32'h008665c4;
118
SigmoidLUT[117] = 32'h00888273;
119
SigmoidLUT[118] = 32'h008aa79c;
120
SigmoidLUT[119] = 32'h008cd562;
121
SigmoidLUT[120] = 32'h008f0be7;
122
SigmoidLUT[121] = 32'h00914b4e;
123
SigmoidLUT[122] = 32'h009393ba;
124
SigmoidLUT[123] = 32'h0095e54f;
125
SigmoidLUT[124] = 32'h00984033;
126
SigmoidLUT[125] = 32'h009aa489;
127
SigmoidLUT[126] = 32'h009d1278;
128
SigmoidLUT[127] = 32'h009f8a27;
129
SigmoidLUT[128] = 32'h00a20bbb;
130
SigmoidLUT[129] = 32'h00a4975d;
131
SigmoidLUT[130] = 32'h00a72d35;
132
SigmoidLUT[131] = 32'h00a9cd6b;
133
SigmoidLUT[132] = 32'h00ac7829;
134
SigmoidLUT[133] = 32'h00af2d99;
135
SigmoidLUT[134] = 32'h00b1ede5;
136
SigmoidLUT[135] = 32'h00b4b939;
137
SigmoidLUT[136] = 32'h00b78fc1;
138
SigmoidLUT[137] = 32'h00ba71a9;
139
SigmoidLUT[138] = 32'h00bd5f1f;
140
SigmoidLUT[139] = 32'h00c05851;
141
SigmoidLUT[140] = 32'h00c35d6e;
142
SigmoidLUT[141] = 32'h00c66ea4;
143
SigmoidLUT[142] = 32'h00c98c24;
144
SigmoidLUT[143] = 32'h00ccb620;
145
SigmoidLUT[144] = 32'h00cfecc9;
146
SigmoidLUT[145] = 32'h00d33050;
147
SigmoidLUT[146] = 32'h00d680eb;
148
SigmoidLUT[147] = 32'h00d9decb;
149
SigmoidLUT[148] = 32'h00dd4a27;
150
SigmoidLUT[149] = 32'h00e0c334;
151
SigmoidLUT[150] = 32'h00e44a28;
152
SigmoidLUT[151] = 32'h00e7df3b;
153
SigmoidLUT[152] = 32'h00eb82a5;
154
SigmoidLUT[153] = 32'h00ef349e;
155
SigmoidLUT[154] = 32'h00f2f561;
156
SigmoidLUT[155] = 32'h00f6c529;
157
SigmoidLUT[156] = 32'h00faa430;
158
SigmoidLUT[157] = 32'h00fe92b3;
159
SigmoidLUT[158] = 32'h010290f1;
160
SigmoidLUT[159] = 32'h01069f26;
161
SigmoidLUT[160] = 32'h010abd94;
162
SigmoidLUT[161] = 32'h010eec79;
163
SigmoidLUT[162] = 32'h01132c18;
164
SigmoidLUT[163] = 32'h01177cb2;
165
SigmoidLUT[164] = 32'h011bde8b;
166
SigmoidLUT[165] = 32'h012051e7;
167
SigmoidLUT[166] = 32'h0124d70c;
168
SigmoidLUT[167] = 32'h01296e3f;
169
SigmoidLUT[168] = 32'h012e17c9;
170
SigmoidLUT[169] = 32'h0132d3f2;
171
SigmoidLUT[170] = 32'h0137a304;
172
SigmoidLUT[171] = 32'h013c8548;
173
SigmoidLUT[172] = 32'h01417b0d;
174
SigmoidLUT[173] = 32'h0146849d;
175
SigmoidLUT[174] = 32'h014ba248;
176
SigmoidLUT[175] = 32'h0150d45d;
177
SigmoidLUT[176] = 32'h01561b2d;
178
SigmoidLUT[177] = 32'h015b7709;
179
SigmoidLUT[178] = 32'h0160e844;
180
SigmoidLUT[179] = 32'h01666f33;
181
SigmoidLUT[180] = 32'h016c0c2b;
182
SigmoidLUT[181] = 32'h0171bf84;
183
SigmoidLUT[182] = 32'h01778995;
184
SigmoidLUT[183] = 32'h017d6ab8;
185
SigmoidLUT[184] = 32'h01836347;
186
SigmoidLUT[185] = 32'h0189739f;
187
SigmoidLUT[186] = 32'h018f9c1e;
188
SigmoidLUT[187] = 32'h0195dd22;
189
SigmoidLUT[188] = 32'h019c370b;
190
SigmoidLUT[189] = 32'h01a2aa3d;
191
SigmoidLUT[190] = 32'h01a93719;
192
SigmoidLUT[191] = 32'h01afde05;
193
SigmoidLUT[192] = 32'h01b69f67;
194
SigmoidLUT[193] = 32'h01bd7ba7;
195
SigmoidLUT[194] = 32'h01c4732e;
196
SigmoidLUT[195] = 32'h01cb8667;
197
SigmoidLUT[196] = 32'h01d2b5bf;
198
SigmoidLUT[197] = 32'h01da01a3;
199
SigmoidLUT[198] = 32'h01e16a84;
200
SigmoidLUT[199] = 32'h01e8f0d3;
201
SigmoidLUT[200] = 32'h01f09503;
202
SigmoidLUT[201] = 32'h01f85788;
203
SigmoidLUT[202] = 32'h020038da;
204
SigmoidLUT[203] = 32'h02083971;
205
SigmoidLUT[204] = 32'h021059c5;
206
SigmoidLUT[205] = 32'h02189a55;
207
SigmoidLUT[206] = 32'h0220fb9c;
208
SigmoidLUT[207] = 32'h02297e1b;
209
SigmoidLUT[208] = 32'h02322253;
210
SigmoidLUT[209] = 32'h023ae8c7;
211
SigmoidLUT[210] = 32'h0243d1fc;
212
SigmoidLUT[211] = 32'h024cde7a;
213
SigmoidLUT[212] = 32'h02560eca;
214
SigmoidLUT[213] = 32'h025f6376;
215
SigmoidLUT[214] = 32'h0268dd0c;
216
SigmoidLUT[215] = 32'h02727c1b;
217
SigmoidLUT[216] = 32'h027c4134;
218
SigmoidLUT[217] = 32'h02862cec;
219
SigmoidLUT[218] = 32'h02903fd6;
220
SigmoidLUT[219] = 32'h029a7a8b;
221
SigmoidLUT[220] = 32'h02a4dda5;
222
SigmoidLUT[221] = 32'h02af69bf;
223
SigmoidLUT[222] = 32'h02ba1f79;
224
SigmoidLUT[223] = 32'h02c4ff73;
225
SigmoidLUT[224] = 32'h02d00a4f;
226
SigmoidLUT[225] = 32'h02db40b3;
227
SigmoidLUT[226] = 32'h02e6a346;
228
SigmoidLUT[227] = 32'h02f232b3;
229
SigmoidLUT[228] = 32'h02fdefa6;
230
SigmoidLUT[229] = 32'h0309dace;
231
SigmoidLUT[230] = 32'h0315f4dc;
232
SigmoidLUT[231] = 32'h03223e85;
233
SigmoidLUT[232] = 32'h032eb87d;
234
SigmoidLUT[233] = 32'h033b6380;
235
SigmoidLUT[234] = 32'h03484047;
236
SigmoidLUT[235] = 32'h03554f93;
237
SigmoidLUT[236] = 32'h03629222;
238
SigmoidLUT[237] = 32'h037008ba;
239
SigmoidLUT[238] = 32'h037db421;
240
SigmoidLUT[239] = 32'h038b951f;
241
SigmoidLUT[240] = 32'h0399ac82;
242
SigmoidLUT[241] = 32'h03a7fb17;
243
SigmoidLUT[242] = 32'h03b681b0;
244
SigmoidLUT[243] = 32'h03c54122;
245
SigmoidLUT[244] = 32'h03d43a45;
246
SigmoidLUT[245] = 32'h03e36df3;
247
SigmoidLUT[246] = 32'h03f2dd0a;
248
SigmoidLUT[247] = 32'h0402886a;
249
SigmoidLUT[248] = 32'h041270f8;
250
SigmoidLUT[249] = 32'h04229799;
251
SigmoidLUT[250] = 32'h0432fd38;
252
SigmoidLUT[251] = 32'h0443a2c2;
253
SigmoidLUT[252] = 32'h04548927;
254
SigmoidLUT[253] = 32'h0465b15b;
255
SigmoidLUT[254] = 32'h04771c55;
256
SigmoidLUT[255] = 32'h0488cb0f;
257
SigmoidLUT[256] = 32'h049abe87;
258
SigmoidLUT[257] = 32'h04acf7be;
259
SigmoidLUT[258] = 32'h04bf77b8;
260
SigmoidLUT[259] = 32'h04d23f7c;
261
SigmoidLUT[260] = 32'h04e55018;
262
SigmoidLUT[261] = 32'h04f8aa99;
263
SigmoidLUT[262] = 32'h050c5012;
264
SigmoidLUT[263] = 32'h05204199;
265
SigmoidLUT[264] = 32'h05348048;
266
SigmoidLUT[265] = 32'h05490d3d;
267
SigmoidLUT[266] = 32'h055de998;
268
SigmoidLUT[267] = 32'h0573167f;
269
SigmoidLUT[268] = 32'h0588951b;
270
SigmoidLUT[269] = 32'h059e6697;
271
SigmoidLUT[270] = 32'h05b48c26;
272
SigmoidLUT[271] = 32'h05cb06fa;
273
SigmoidLUT[272] = 32'h05e1d84c;
274
SigmoidLUT[273] = 32'h05f90159;
275
SigmoidLUT[274] = 32'h06108360;
276
SigmoidLUT[275] = 32'h06285fa7;
277
SigmoidLUT[276] = 32'h06409775;
278
SigmoidLUT[277] = 32'h06592c17;
279
SigmoidLUT[278] = 32'h06721edd;
280
SigmoidLUT[279] = 32'h068b711d;
281
SigmoidLUT[280] = 32'h06a52430;
282
SigmoidLUT[281] = 32'h06bf3972;
283
SigmoidLUT[282] = 32'h06d9b246;
284
SigmoidLUT[283] = 32'h06f49010;
285
SigmoidLUT[284] = 32'h070fd43d;
286
SigmoidLUT[285] = 32'h072b8039;
287
SigmoidLUT[286] = 32'h07479577;
288
SigmoidLUT[287] = 32'h07641571;
289
SigmoidLUT[288] = 32'h078101a0;
290
SigmoidLUT[289] = 32'h079e5b86;
291
SigmoidLUT[290] = 32'h07bc24a7;
292
SigmoidLUT[291] = 32'h07da5e8d;
293
SigmoidLUT[292] = 32'h07f90ac5;
294
SigmoidLUT[293] = 32'h08182ae3;
295
SigmoidLUT[294] = 32'h0837c07d;
296
SigmoidLUT[295] = 32'h0857cd2f;
297
SigmoidLUT[296] = 32'h0878529b;
298
SigmoidLUT[297] = 32'h08995264;
299
SigmoidLUT[298] = 32'h08bace35;
300
SigmoidLUT[299] = 32'h08dcc7bc;
301
SigmoidLUT[300] = 32'h08ff40ad;
302
SigmoidLUT[301] = 32'h09223ac1;
303
SigmoidLUT[302] = 32'h0945b7b3;
304
SigmoidLUT[303] = 32'h0969b946;
305
SigmoidLUT[304] = 32'h098e4140;
306
SigmoidLUT[305] = 32'h09b3516d;
307
SigmoidLUT[306] = 32'h09d8eb9c;
308
SigmoidLUT[307] = 32'h09ff11a4;
309
SigmoidLUT[308] = 32'h0a25c55d;
310
SigmoidLUT[309] = 32'h0a4d08a7;
311
SigmoidLUT[310] = 32'h0a74dd66;
312
SigmoidLUT[311] = 32'h0a9d4581;
313
SigmoidLUT[312] = 32'h0ac642e6;
314
SigmoidLUT[313] = 32'h0aefd789;
315
SigmoidLUT[314] = 32'h0b1a055f;
316
SigmoidLUT[315] = 32'h0b44ce65;
317
SigmoidLUT[316] = 32'h0b70349c;
318
SigmoidLUT[317] = 32'h0b9c3a0a;
319
SigmoidLUT[318] = 32'h0bc8e0b9;
320
SigmoidLUT[319] = 32'h0bf62ab9;
321
SigmoidLUT[320] = 32'h0c241a1e;
322
SigmoidLUT[321] = 32'h0c52b101;
323
SigmoidLUT[322] = 32'h0c81f181;
324
SigmoidLUT[323] = 32'h0cb1ddbf;
325
SigmoidLUT[324] = 32'h0ce277e4;
326
SigmoidLUT[325] = 32'h0d13c21c;
327
SigmoidLUT[326] = 32'h0d45be97;
328
SigmoidLUT[327] = 32'h0d786f8b;
329
SigmoidLUT[328] = 32'h0dabd732;
330
SigmoidLUT[329] = 32'h0ddff7cc;
331
SigmoidLUT[330] = 32'h0e14d39a;
332
SigmoidLUT[331] = 32'h0e4a6ce5;
333
SigmoidLUT[332] = 32'h0e80c5f9;
334
SigmoidLUT[333] = 32'h0eb7e128;
335
SigmoidLUT[334] = 32'h0eefc0c5;
336
SigmoidLUT[335] = 32'h0f28672a;
337
SigmoidLUT[336] = 32'h0f61d6b5;
338
SigmoidLUT[337] = 32'h0f9c11c7;
339
SigmoidLUT[338] = 32'h0fd71ac7;
340
SigmoidLUT[339] = 32'h1012f41d;
341
SigmoidLUT[340] = 32'h104fa038;
342
SigmoidLUT[341] = 32'h108d218a;
343
SigmoidLUT[342] = 32'h10cb7a87;
344
SigmoidLUT[343] = 32'h110aada9;
345
SigmoidLUT[344] = 32'h114abd6d;
346
SigmoidLUT[345] = 32'h118bac53;
347
SigmoidLUT[346] = 32'h11cd7cde;
348
SigmoidLUT[347] = 32'h12103196;
349
SigmoidLUT[348] = 32'h1253cd04;
350
SigmoidLUT[349] = 32'h129851b6;
351
SigmoidLUT[350] = 32'h12ddc23c;
352
SigmoidLUT[351] = 32'h13242129;
353
SigmoidLUT[352] = 32'h136b7112;
354
SigmoidLUT[353] = 32'h13b3b490;
355
SigmoidLUT[354] = 32'h13fcee3d;
356
SigmoidLUT[355] = 32'h144720b7;
357
SigmoidLUT[356] = 32'h14924e9c;
358
SigmoidLUT[357] = 32'h14de7a8d;
359
SigmoidLUT[358] = 32'h152ba72e;
360
SigmoidLUT[359] = 32'h1579d722;
361
SigmoidLUT[360] = 32'h15c90d0f;
362
SigmoidLUT[361] = 32'h16194b9c;
363
SigmoidLUT[362] = 32'h166a9573;
364
SigmoidLUT[363] = 32'h16bced3b;
365
SigmoidLUT[364] = 32'h1710559e;
366
SigmoidLUT[365] = 32'h1764d148;
367
SigmoidLUT[366] = 32'h17ba62e1;
368
SigmoidLUT[367] = 32'h18110d16;
369
SigmoidLUT[368] = 32'h1868d291;
370
SigmoidLUT[369] = 32'h18c1b5fb;
371
SigmoidLUT[370] = 32'h191bb9fe;
372
SigmoidLUT[371] = 32'h1976e143;
373
SigmoidLUT[372] = 32'h19d32e71;
374
SigmoidLUT[373] = 32'h1a30a42e;
375
SigmoidLUT[374] = 32'h1a8f451f;
376
SigmoidLUT[375] = 32'h1aef13e6;
377
SigmoidLUT[376] = 32'h1b501323;
378
SigmoidLUT[377] = 32'h1bb24575;
379
SigmoidLUT[378] = 32'h1c15ad76;
380
SigmoidLUT[379] = 32'h1c7a4dbf;
381
SigmoidLUT[380] = 32'h1ce028e5;
382
SigmoidLUT[381] = 32'h1d474177;
383
SigmoidLUT[382] = 32'h1daf9a04;
384
SigmoidLUT[383] = 32'h1e193514;
385
SigmoidLUT[384] = 32'h1e84152b;
386
SigmoidLUT[385] = 32'h1ef03cc9;
387
SigmoidLUT[386] = 32'h1f5dae66;
388
SigmoidLUT[387] = 32'h1fcc6c78;
389
SigmoidLUT[388] = 32'h203c796c;
390
SigmoidLUT[389] = 32'h20add7ab;
391
SigmoidLUT[390] = 32'h21208994;
392
SigmoidLUT[391] = 32'h21949182;
393
SigmoidLUT[392] = 32'h2209f1c7;
394
SigmoidLUT[393] = 32'h2280acad;
395
SigmoidLUT[394] = 32'h22f8c477;
396
SigmoidLUT[395] = 32'h23723b5e;
397
SigmoidLUT[396] = 32'h23ed1392;
398
SigmoidLUT[397] = 32'h24694f39;
399
SigmoidLUT[398] = 32'h24e6f071;
400
SigmoidLUT[399] = 32'h2565f94c;
401
SigmoidLUT[400] = 32'h25e66bd1;
402
SigmoidLUT[401] = 32'h266849fe;
403
SigmoidLUT[402] = 32'h26eb95c1;
404
SigmoidLUT[403] = 32'h27705101;
405
SigmoidLUT[404] = 32'h27f67d95;
406
SigmoidLUT[405] = 32'h287e1d48;
407
SigmoidLUT[406] = 32'h290731d7;
408
SigmoidLUT[407] = 32'h2991bcf3;
409
SigmoidLUT[408] = 32'h2a1dc03b;
410
SigmoidLUT[409] = 32'h2aab3d44;
411
SigmoidLUT[410] = 32'h2b3a358f;
412
SigmoidLUT[411] = 32'h2bcaaa8f;
413
SigmoidLUT[412] = 32'h2c5c9da9;
414
SigmoidLUT[413] = 32'h2cf0102d;
415
SigmoidLUT[414] = 32'h2d85035d;
416
SigmoidLUT[415] = 32'h2e1b7868;
417
SigmoidLUT[416] = 32'h2eb3706a;
418
SigmoidLUT[417] = 32'h2f4cec6f;
419
SigmoidLUT[418] = 32'h2fe7ed6d;
420
SigmoidLUT[419] = 32'h30847449;
421
SigmoidLUT[420] = 32'h312281d0;
422
SigmoidLUT[421] = 32'h31c216bf;
423
SigmoidLUT[422] = 32'h326333bb;
424
SigmoidLUT[423] = 32'h3305d954;
425
SigmoidLUT[424] = 32'h33aa0805;
426
SigmoidLUT[425] = 32'h344fc031;
427
SigmoidLUT[426] = 32'h34f70225;
428
SigmoidLUT[427] = 32'h359fce16;
429
SigmoidLUT[428] = 32'h364a2423;
430
SigmoidLUT[429] = 32'h36f6044f;
431
SigmoidLUT[430] = 32'h37a36e89;
432
SigmoidLUT[431] = 32'h385262a2;
433
SigmoidLUT[432] = 32'h3902e055;
434
SigmoidLUT[433] = 32'h39b4e740;
435
SigmoidLUT[434] = 32'h3a6876eb;
436
SigmoidLUT[435] = 32'h3b1d8ebd;
437
SigmoidLUT[436] = 32'h3bd42e07;
438
SigmoidLUT[437] = 32'h3c8c53fc;
439
SigmoidLUT[438] = 32'h3d45ffb3;
440
SigmoidLUT[439] = 32'h3e013026;
441
SigmoidLUT[440] = 32'h3ebde434;
442
SigmoidLUT[441] = 32'h3f7c1a9d;
443
SigmoidLUT[442] = 32'h403bd205;
444
SigmoidLUT[443] = 32'h40fd08f2;
445
SigmoidLUT[444] = 32'h41bfbdcb;
446
SigmoidLUT[445] = 32'h4283eed9;
447
SigmoidLUT[446] = 32'h43499a47;
448
SigmoidLUT[447] = 32'h4410be20;
449
SigmoidLUT[448] = 32'h44d95851;
450
SigmoidLUT[449] = 32'h45a366a6;
451
SigmoidLUT[450] = 32'h466ee6cd;
452
SigmoidLUT[451] = 32'h473bd654;
453
SigmoidLUT[452] = 32'h480a32a8;
454
SigmoidLUT[453] = 32'h48d9f917;
455
SigmoidLUT[454] = 32'h49ab26cd;
456
SigmoidLUT[455] = 32'h4a7db8d8;
457
SigmoidLUT[456] = 32'h4b51ac23;
458
SigmoidLUT[457] = 32'h4c26fd7b;
459
SigmoidLUT[458] = 32'h4cfda989;
460
SigmoidLUT[459] = 32'h4dd5acda;
461
SigmoidLUT[460] = 32'h4eaf03d6;
462
SigmoidLUT[461] = 32'h4f89aac6;
463
SigmoidLUT[462] = 32'h50659dd4;
464
SigmoidLUT[463] = 32'h5142d905;
465
SigmoidLUT[464] = 32'h52215842;
466
SigmoidLUT[465] = 32'h53011752;
467
SigmoidLUT[466] = 32'h53e211db;
468
SigmoidLUT[467] = 32'h54c44364;
469
SigmoidLUT[468] = 32'h55a7a753;
470
SigmoidLUT[469] = 32'h568c38ef;
471
SigmoidLUT[470] = 32'h5771f35f;
472
SigmoidLUT[471] = 32'h5858d1ac;
473
SigmoidLUT[472] = 32'h5940cebe;
474
SigmoidLUT[473] = 32'h5a29e55f;
475
SigmoidLUT[474] = 32'h5b14103c;
476
SigmoidLUT[475] = 32'h5bff49e2;
477
SigmoidLUT[476] = 32'h5ceb8cc3;
478
SigmoidLUT[477] = 32'h5dd8d330;
479
SigmoidLUT[478] = 32'h5ec71761;
480
SigmoidLUT[479] = 32'h5fb6536f;
481
SigmoidLUT[480] = 32'h60a68159;
482
SigmoidLUT[481] = 32'h61979b01;
483
SigmoidLUT[482] = 32'h62899a30;
484
SigmoidLUT[483] = 32'h637c7893;
485
SigmoidLUT[484] = 32'h64702fbe;
486
SigmoidLUT[485] = 32'h6564b92d;
487
SigmoidLUT[486] = 32'h665a0e41;
488
SigmoidLUT[487] = 32'h67502846;
489
SigmoidLUT[488] = 32'h6847006f;
490
SigmoidLUT[489] = 32'h693e8fda;
491
SigmoidLUT[490] = 32'h6a36cf8d;
492
SigmoidLUT[491] = 32'h6b2fb87c;
493
SigmoidLUT[492] = 32'h6c294384;
494
SigmoidLUT[493] = 32'h6d236971;
495
SigmoidLUT[494] = 32'h6e1e22fa;
496
SigmoidLUT[495] = 32'h6f1968c6;
497
SigmoidLUT[496] = 32'h7015336a;
498
SigmoidLUT[497] = 32'h71117b6a;
499
SigmoidLUT[498] = 32'h720e393e;
500
SigmoidLUT[499] = 32'h730b654c;
501
SigmoidLUT[500] = 32'h7408f7ed;
502
SigmoidLUT[501] = 32'h7506e970;
503
SigmoidLUT[502] = 32'h76053216;
504
SigmoidLUT[503] = 32'h7703ca14;
505
SigmoidLUT[504] = 32'h7802a99a;
506
SigmoidLUT[505] = 32'h7901c8c9;
507
SigmoidLUT[506] = 32'h7a011fbf;
508
SigmoidLUT[507] = 32'h7b00a690;
509
SigmoidLUT[508] = 32'h7c00554c;
510
SigmoidLUT[509] = 32'h7d0023fd;
511
SigmoidLUT[510] = 32'h7e000aaa;
512
SigmoidLUT[511] = 32'h7f000155;
513
SigmoidLUT[512] = 32'h80000000;
514
SigmoidLUT[513] = 32'h80fffeaa;
515
SigmoidLUT[514] = 32'h81fff555;
516
SigmoidLUT[515] = 32'h82ffdc02;
517
SigmoidLUT[516] = 32'h83ffaab3;
518
SigmoidLUT[517] = 32'h84ff596f;
519
SigmoidLUT[518] = 32'h85fee040;
520
SigmoidLUT[519] = 32'h86fe3736;
521
SigmoidLUT[520] = 32'h87fd5665;
522
SigmoidLUT[521] = 32'h88fc35eb;
523
SigmoidLUT[522] = 32'h89facde9;
524
SigmoidLUT[523] = 32'h8af9168f;
525
SigmoidLUT[524] = 32'h8bf70812;
526
SigmoidLUT[525] = 32'h8cf49ab3;
527
SigmoidLUT[526] = 32'h8df1c6c1;
528
SigmoidLUT[527] = 32'h8eee8495;
529
SigmoidLUT[528] = 32'h8feacc95;
530
SigmoidLUT[529] = 32'h90e69739;
531
SigmoidLUT[530] = 32'h91e1dd05;
532
SigmoidLUT[531] = 32'h92dc968e;
533
SigmoidLUT[532] = 32'h93d6bc7b;
534
SigmoidLUT[533] = 32'h94d04783;
535
SigmoidLUT[534] = 32'h95c93072;
536
SigmoidLUT[535] = 32'h96c17025;
537
SigmoidLUT[536] = 32'h97b8ff90;
538
SigmoidLUT[537] = 32'h98afd7b9;
539
SigmoidLUT[538] = 32'h99a5f1be;
540
SigmoidLUT[539] = 32'h9a9b46d2;
541
SigmoidLUT[540] = 32'h9b8fd041;
542
SigmoidLUT[541] = 32'h9c83876c;
543
SigmoidLUT[542] = 32'h9d7665cf;
544
SigmoidLUT[543] = 32'h9e6864fe;
545
SigmoidLUT[544] = 32'h9f597ea6;
546
SigmoidLUT[545] = 32'ha049ac90;
547
SigmoidLUT[546] = 32'ha138e89e;
548
SigmoidLUT[547] = 32'ha2272ccf;
549
SigmoidLUT[548] = 32'ha314733c;
550
SigmoidLUT[549] = 32'ha400b61d;
551
SigmoidLUT[550] = 32'ha4ebefc3;
552
SigmoidLUT[551] = 32'ha5d61aa0;
553
SigmoidLUT[552] = 32'ha6bf3141;
554
SigmoidLUT[553] = 32'ha7a72e53;
555
SigmoidLUT[554] = 32'ha88e0ca0;
556
SigmoidLUT[555] = 32'ha973c710;
557
SigmoidLUT[556] = 32'haa5858ac;
558
SigmoidLUT[557] = 32'hab3bbc9b;
559
SigmoidLUT[558] = 32'hac1dee24;
560
SigmoidLUT[559] = 32'hacfee8ad;
561
SigmoidLUT[560] = 32'haddea7bd;
562
SigmoidLUT[561] = 32'haebd26fa;
563
SigmoidLUT[562] = 32'haf9a622b;
564
SigmoidLUT[563] = 32'hb0765539;
565
SigmoidLUT[564] = 32'hb150fc29;
566
SigmoidLUT[565] = 32'hb22a5325;
567
SigmoidLUT[566] = 32'hb3025676;
568
SigmoidLUT[567] = 32'hb3d90284;
569
SigmoidLUT[568] = 32'hb4ae53dc;
570
SigmoidLUT[569] = 32'hb5824727;
571
SigmoidLUT[570] = 32'hb654d932;
572
SigmoidLUT[571] = 32'hb72606e8;
573
SigmoidLUT[572] = 32'hb7f5cd57;
574
SigmoidLUT[573] = 32'hb8c429ab;
575
SigmoidLUT[574] = 32'hb9911932;
576
SigmoidLUT[575] = 32'hba5c9959;
577
SigmoidLUT[576] = 32'hbb26a7ae;
578
SigmoidLUT[577] = 32'hbbef41df;
579
SigmoidLUT[578] = 32'hbcb665b8;
580
SigmoidLUT[579] = 32'hbd7c1126;
581
SigmoidLUT[580] = 32'hbe404234;
582
SigmoidLUT[581] = 32'hbf02f70d;
583
SigmoidLUT[582] = 32'hbfc42dfa;
584
SigmoidLUT[583] = 32'hc083e562;
585
SigmoidLUT[584] = 32'hc1421bcb;
586
SigmoidLUT[585] = 32'hc1fecfd9;
587
SigmoidLUT[586] = 32'hc2ba004c;
588
SigmoidLUT[587] = 32'hc373ac03;
589
SigmoidLUT[588] = 32'hc42bd1f8;
590
SigmoidLUT[589] = 32'hc4e27142;
591
SigmoidLUT[590] = 32'hc5978914;
592
SigmoidLUT[591] = 32'hc64b18bf;
593
SigmoidLUT[592] = 32'hc6fd1faa;
594
SigmoidLUT[593] = 32'hc7ad9d5d;
595
SigmoidLUT[594] = 32'hc85c9176;
596
SigmoidLUT[595] = 32'hc909fbb0;
597
SigmoidLUT[596] = 32'hc9b5dbdc;
598
SigmoidLUT[597] = 32'hca6031e9;
599
SigmoidLUT[598] = 32'hcb08fdda;
600
SigmoidLUT[599] = 32'hcbb03fce;
601
SigmoidLUT[600] = 32'hcc55f7fa;
602
SigmoidLUT[601] = 32'hccfa26ab;
603
SigmoidLUT[602] = 32'hcd9ccc44;
604
SigmoidLUT[603] = 32'hce3de940;
605
SigmoidLUT[604] = 32'hcedd7e2f;
606
SigmoidLUT[605] = 32'hcf7b8bb6;
607
SigmoidLUT[606] = 32'hd0181292;
608
SigmoidLUT[607] = 32'hd0b31390;
609
SigmoidLUT[608] = 32'hd14c8f95;
610
SigmoidLUT[609] = 32'hd1e48797;
611
SigmoidLUT[610] = 32'hd27afca2;
612
SigmoidLUT[611] = 32'hd30fefd2;
613
SigmoidLUT[612] = 32'hd3a36256;
614
SigmoidLUT[613] = 32'hd4355570;
615
SigmoidLUT[614] = 32'hd4c5ca70;
616
SigmoidLUT[615] = 32'hd554c2bb;
617
SigmoidLUT[616] = 32'hd5e23fc4;
618
SigmoidLUT[617] = 32'hd66e430c;
619
SigmoidLUT[618] = 32'hd6f8ce28;
620
SigmoidLUT[619] = 32'hd781e2b7;
621
SigmoidLUT[620] = 32'hd809826a;
622
SigmoidLUT[621] = 32'hd88faefe;
623
SigmoidLUT[622] = 32'hd9146a3e;
624
SigmoidLUT[623] = 32'hd997b601;
625
SigmoidLUT[624] = 32'hda19942e;
626
SigmoidLUT[625] = 32'hda9a06b3;
627
SigmoidLUT[626] = 32'hdb190f8e;
628
SigmoidLUT[627] = 32'hdb96b0c6;
629
SigmoidLUT[628] = 32'hdc12ec6d;
630
SigmoidLUT[629] = 32'hdc8dc4a1;
631
SigmoidLUT[630] = 32'hdd073b88;
632
SigmoidLUT[631] = 32'hdd7f5352;
633
SigmoidLUT[632] = 32'hddf60e38;
634
SigmoidLUT[633] = 32'hde6b6e7d;
635
SigmoidLUT[634] = 32'hdedf766b;
636
SigmoidLUT[635] = 32'hdf522854;
637
SigmoidLUT[636] = 32'hdfc38693;
638
SigmoidLUT[637] = 32'he0339387;
639
SigmoidLUT[638] = 32'he0a25199;
640
SigmoidLUT[639] = 32'he10fc336;
641
SigmoidLUT[640] = 32'he17bead4;
642
SigmoidLUT[641] = 32'he1e6caeb;
643
SigmoidLUT[642] = 32'he25065fb;
644
SigmoidLUT[643] = 32'he2b8be88;
645
SigmoidLUT[644] = 32'he31fd71a;
646
SigmoidLUT[645] = 32'he385b240;
647
SigmoidLUT[646] = 32'he3ea5289;
648
SigmoidLUT[647] = 32'he44dba8a;
649
SigmoidLUT[648] = 32'he4afecdc;
650
SigmoidLUT[649] = 32'he510ec19;
651
SigmoidLUT[650] = 32'he570bae0;
652
SigmoidLUT[651] = 32'he5cf5bd1;
653
SigmoidLUT[652] = 32'he62cd18e;
654
SigmoidLUT[653] = 32'he6891ebc;
655
SigmoidLUT[654] = 32'he6e44601;
656
SigmoidLUT[655] = 32'he73e4a04;
657
SigmoidLUT[656] = 32'he7972d6e;
658
SigmoidLUT[657] = 32'he7eef2e9;
659
SigmoidLUT[658] = 32'he8459d1e;
660
SigmoidLUT[659] = 32'he89b2eb7;
661
SigmoidLUT[660] = 32'he8efaa61;
662
SigmoidLUT[661] = 32'he94312c4;
663
SigmoidLUT[662] = 32'he9956a8c;
664
SigmoidLUT[663] = 32'he9e6b463;
665
SigmoidLUT[664] = 32'hea36f2f0;
666
SigmoidLUT[665] = 32'hea8628dd;
667
SigmoidLUT[666] = 32'head458d1;
668
SigmoidLUT[667] = 32'heb218572;
669
SigmoidLUT[668] = 32'heb6db163;
670
SigmoidLUT[669] = 32'hebb8df48;
671
SigmoidLUT[670] = 32'hec0311c2;
672
SigmoidLUT[671] = 32'hec4c4b6f;
673
SigmoidLUT[672] = 32'hec948eed;
674
SigmoidLUT[673] = 32'hecdbded6;
675
SigmoidLUT[674] = 32'hed223dc3;
676
SigmoidLUT[675] = 32'hed67ae49;
677
SigmoidLUT[676] = 32'hedac32fb;
678
SigmoidLUT[677] = 32'hedefce69;
679
SigmoidLUT[678] = 32'hee328321;
680
SigmoidLUT[679] = 32'hee7453ac;
681
SigmoidLUT[680] = 32'heeb54292;
682
SigmoidLUT[681] = 32'heef55256;
683
SigmoidLUT[682] = 32'hef348578;
684
SigmoidLUT[683] = 32'hef72de75;
685
SigmoidLUT[684] = 32'hefb05fc7;
686
SigmoidLUT[685] = 32'hefed0be2;
687
SigmoidLUT[686] = 32'hf028e538;
688
SigmoidLUT[687] = 32'hf063ee38;
689
SigmoidLUT[688] = 32'hf09e294a;
690
SigmoidLUT[689] = 32'hf0d798d5;
691
SigmoidLUT[690] = 32'hf1103f3a;
692
SigmoidLUT[691] = 32'hf1481ed7;
693
SigmoidLUT[692] = 32'hf17f3a06;
694
SigmoidLUT[693] = 32'hf1b5931a;
695
SigmoidLUT[694] = 32'hf1eb2c65;
696
SigmoidLUT[695] = 32'hf2200833;
697
SigmoidLUT[696] = 32'hf25428cd;
698
SigmoidLUT[697] = 32'hf2879074;
699
SigmoidLUT[698] = 32'hf2ba4168;
700
SigmoidLUT[699] = 32'hf2ec3de3;
701
SigmoidLUT[700] = 32'hf31d881b;
702
SigmoidLUT[701] = 32'hf34e2240;
703
SigmoidLUT[702] = 32'hf37e0e7e;
704
SigmoidLUT[703] = 32'hf3ad4efe;
705
SigmoidLUT[704] = 32'hf3dbe5e1;
706
SigmoidLUT[705] = 32'hf409d546;
707
SigmoidLUT[706] = 32'hf4371f46;
708
SigmoidLUT[707] = 32'hf463c5f5;
709
SigmoidLUT[708] = 32'hf48fcb63;
710
SigmoidLUT[709] = 32'hf4bb319a;
711
SigmoidLUT[710] = 32'hf4e5faa0;
712
SigmoidLUT[711] = 32'hf5102876;
713
SigmoidLUT[712] = 32'hf539bd19;
714
SigmoidLUT[713] = 32'hf562ba7e;
715
SigmoidLUT[714] = 32'hf58b2299;
716
SigmoidLUT[715] = 32'hf5b2f758;
717
SigmoidLUT[716] = 32'hf5da3aa2;
718
SigmoidLUT[717] = 32'hf600ee5b;
719
SigmoidLUT[718] = 32'hf6271463;
720
SigmoidLUT[719] = 32'hf64cae92;
721
SigmoidLUT[720] = 32'hf671bebf;
722
SigmoidLUT[721] = 32'hf69646b9;
723
SigmoidLUT[722] = 32'hf6ba484c;
724
SigmoidLUT[723] = 32'hf6ddc53e;
725
SigmoidLUT[724] = 32'hf700bf52;
726
SigmoidLUT[725] = 32'hf7233843;
727
SigmoidLUT[726] = 32'hf74531ca;
728
SigmoidLUT[727] = 32'hf766ad9b;
729
SigmoidLUT[728] = 32'hf787ad64;
730
SigmoidLUT[729] = 32'hf7a832d0;
731
SigmoidLUT[730] = 32'hf7c83f82;
732
SigmoidLUT[731] = 32'hf7e7d51c;
733
SigmoidLUT[732] = 32'hf806f53a;
734
SigmoidLUT[733] = 32'hf825a172;
735
SigmoidLUT[734] = 32'hf843db58;
736
SigmoidLUT[735] = 32'hf861a479;
737
SigmoidLUT[736] = 32'hf87efe5f;
738
SigmoidLUT[737] = 32'hf89bea8e;
739
SigmoidLUT[738] = 32'hf8b86a88;
740
SigmoidLUT[739] = 32'hf8d47fc6;
741
SigmoidLUT[740] = 32'hf8f02bc2;
742
SigmoidLUT[741] = 32'hf90b6fef;
743
SigmoidLUT[742] = 32'hf9264db9;
744
SigmoidLUT[743] = 32'hf940c68d;
745
SigmoidLUT[744] = 32'hf95adbcf;
746
SigmoidLUT[745] = 32'hf9748ee2;
747
SigmoidLUT[746] = 32'hf98de122;
748
SigmoidLUT[747] = 32'hf9a6d3e8;
749
SigmoidLUT[748] = 32'hf9bf688a;
750
SigmoidLUT[749] = 32'hf9d7a058;
751
SigmoidLUT[750] = 32'hf9ef7c9f;
752
SigmoidLUT[751] = 32'hfa06fea6;
753
SigmoidLUT[752] = 32'hfa1e27b3;
754
SigmoidLUT[753] = 32'hfa34f905;
755
SigmoidLUT[754] = 32'hfa4b73d9;
756
SigmoidLUT[755] = 32'hfa619968;
757
SigmoidLUT[756] = 32'hfa776ae4;
758
SigmoidLUT[757] = 32'hfa8ce980;
759
SigmoidLUT[758] = 32'hfaa21667;
760
SigmoidLUT[759] = 32'hfab6f2c2;
761
SigmoidLUT[760] = 32'hfacb7fb7;
762
SigmoidLUT[761] = 32'hfadfbe66;
763
SigmoidLUT[762] = 32'hfaf3afed;
764
SigmoidLUT[763] = 32'hfb075566;
765
SigmoidLUT[764] = 32'hfb1aafe7;
766
SigmoidLUT[765] = 32'hfb2dc083;
767
SigmoidLUT[766] = 32'hfb408847;
768
SigmoidLUT[767] = 32'hfb530841;
769
SigmoidLUT[768] = 32'hfb654178;
770
SigmoidLUT[769] = 32'hfb7734f0;
771
SigmoidLUT[770] = 32'hfb88e3aa;
772
SigmoidLUT[771] = 32'hfb9a4ea4;
773
SigmoidLUT[772] = 32'hfbab76d8;
774
SigmoidLUT[773] = 32'hfbbc5d3d;
775
SigmoidLUT[774] = 32'hfbcd02c7;
776
SigmoidLUT[775] = 32'hfbdd6866;
777
SigmoidLUT[776] = 32'hfbed8f07;
778
SigmoidLUT[777] = 32'hfbfd7795;
779
SigmoidLUT[778] = 32'hfc0d22f5;
780
SigmoidLUT[779] = 32'hfc1c920c;
781
SigmoidLUT[780] = 32'hfc2bc5ba;
782
SigmoidLUT[781] = 32'hfc3abedd;
783
SigmoidLUT[782] = 32'hfc497e4f;
784
SigmoidLUT[783] = 32'hfc5804e8;
785
SigmoidLUT[784] = 32'hfc66537d;
786
SigmoidLUT[785] = 32'hfc746ae0;
787
SigmoidLUT[786] = 32'hfc824bde;
788
SigmoidLUT[787] = 32'hfc8ff745;
789
SigmoidLUT[788] = 32'hfc9d6ddd;
790
SigmoidLUT[789] = 32'hfcaab06c;
791
SigmoidLUT[790] = 32'hfcb7bfb8;
792
SigmoidLUT[791] = 32'hfcc49c7f;
793
SigmoidLUT[792] = 32'hfcd14782;
794
SigmoidLUT[793] = 32'hfcddc17a;
795
SigmoidLUT[794] = 32'hfcea0b23;
796
SigmoidLUT[795] = 32'hfcf62531;
797
SigmoidLUT[796] = 32'hfd021059;
798
SigmoidLUT[797] = 32'hfd0dcd4c;
799
SigmoidLUT[798] = 32'hfd195cb9;
800
SigmoidLUT[799] = 32'hfd24bf4c;
801
SigmoidLUT[800] = 32'hfd2ff5b0;
802
SigmoidLUT[801] = 32'hfd3b008c;
803
SigmoidLUT[802] = 32'hfd45e086;
804
SigmoidLUT[803] = 32'hfd509640;
805
SigmoidLUT[804] = 32'hfd5b225a;
806
SigmoidLUT[805] = 32'hfd658574;
807
SigmoidLUT[806] = 32'hfd6fc029;
808
SigmoidLUT[807] = 32'hfd79d313;
809
SigmoidLUT[808] = 32'hfd83becb;
810
SigmoidLUT[809] = 32'hfd8d83e4;
811
SigmoidLUT[810] = 32'hfd9722f3;
812
SigmoidLUT[811] = 32'hfda09c89;
813
SigmoidLUT[812] = 32'hfda9f135;
814
SigmoidLUT[813] = 32'hfdb32185;
815
SigmoidLUT[814] = 32'hfdbc2e03;
816
SigmoidLUT[815] = 32'hfdc51738;
817
SigmoidLUT[816] = 32'hfdcdddac;
818
SigmoidLUT[817] = 32'hfdd681e4;
819
SigmoidLUT[818] = 32'hfddf0463;
820
SigmoidLUT[819] = 32'hfde765aa;
821
SigmoidLUT[820] = 32'hfdefa63a;
822
SigmoidLUT[821] = 32'hfdf7c68e;
823
SigmoidLUT[822] = 32'hfdffc725;
824
SigmoidLUT[823] = 32'hfe07a877;
825
SigmoidLUT[824] = 32'hfe0f6afc;
826
SigmoidLUT[825] = 32'hfe170f2c;
827
SigmoidLUT[826] = 32'hfe1e957b;
828
SigmoidLUT[827] = 32'hfe25fe5c;
829
SigmoidLUT[828] = 32'hfe2d4a40;
830
SigmoidLUT[829] = 32'hfe347998;
831
SigmoidLUT[830] = 32'hfe3b8cd1;
832
SigmoidLUT[831] = 32'hfe428458;
833
SigmoidLUT[832] = 32'hfe496098;
834
SigmoidLUT[833] = 32'hfe5021fa;
835
SigmoidLUT[834] = 32'hfe56c8e6;
836
SigmoidLUT[835] = 32'hfe5d55c2;
837
SigmoidLUT[836] = 32'hfe63c8f4;
838
SigmoidLUT[837] = 32'hfe6a22dd;
839
SigmoidLUT[838] = 32'hfe7063e1;
840
SigmoidLUT[839] = 32'hfe768c60;
841
SigmoidLUT[840] = 32'hfe7c9cb8;
842
SigmoidLUT[841] = 32'hfe829547;
843
SigmoidLUT[842] = 32'hfe88766a;
844
SigmoidLUT[843] = 32'hfe8e407b;
845
SigmoidLUT[844] = 32'hfe93f3d4;
846
SigmoidLUT[845] = 32'hfe9990cc;
847
SigmoidLUT[846] = 32'hfe9f17bb;
848
SigmoidLUT[847] = 32'hfea488f6;
849
SigmoidLUT[848] = 32'hfea9e4d2;
850
SigmoidLUT[849] = 32'hfeaf2ba2;
851
SigmoidLUT[850] = 32'hfeb45db7;
852
SigmoidLUT[851] = 32'hfeb97b62;
853
SigmoidLUT[852] = 32'hfebe84f2;
854
SigmoidLUT[853] = 32'hfec37ab7;
855
SigmoidLUT[854] = 32'hfec85cfb;
856
SigmoidLUT[855] = 32'hfecd2c0d;
857
SigmoidLUT[856] = 32'hfed1e836;
858
SigmoidLUT[857] = 32'hfed691c0;
859
SigmoidLUT[858] = 32'hfedb28f3;
860
SigmoidLUT[859] = 32'hfedfae18;
861
SigmoidLUT[860] = 32'hfee42174;
862
SigmoidLUT[861] = 32'hfee8834d;
863
SigmoidLUT[862] = 32'hfeecd3e7;
864
SigmoidLUT[863] = 32'hfef11386;
865
SigmoidLUT[864] = 32'hfef5426b;
866
SigmoidLUT[865] = 32'hfef960d9;
867
SigmoidLUT[866] = 32'hfefd6f0e;
868
SigmoidLUT[867] = 32'hff016d4c;
869
SigmoidLUT[868] = 32'hff055bcf;
870
SigmoidLUT[869] = 32'hff093ad6;
871
SigmoidLUT[870] = 32'hff0d0a9e;
872
SigmoidLUT[871] = 32'hff10cb61;
873
SigmoidLUT[872] = 32'hff147d5a;
874
SigmoidLUT[873] = 32'hff1820c4;
875
SigmoidLUT[874] = 32'hff1bb5d7;
876
SigmoidLUT[875] = 32'hff1f3ccb;
877
SigmoidLUT[876] = 32'hff22b5d8;
878
SigmoidLUT[877] = 32'hff262134;
879
SigmoidLUT[878] = 32'hff297f14;
880
SigmoidLUT[879] = 32'hff2ccfaf;
881
SigmoidLUT[880] = 32'hff301336;
882
SigmoidLUT[881] = 32'hff3349df;
883
SigmoidLUT[882] = 32'hff3673db;
884
SigmoidLUT[883] = 32'hff39915b;
885
SigmoidLUT[884] = 32'hff3ca291;
886
SigmoidLUT[885] = 32'hff3fa7ae;
887
SigmoidLUT[886] = 32'hff42a0e0;
888
SigmoidLUT[887] = 32'hff458e56;
889
SigmoidLUT[888] = 32'hff48703e;
890
SigmoidLUT[889] = 32'hff4b46c6;
891
SigmoidLUT[890] = 32'hff4e121a;
892
SigmoidLUT[891] = 32'hff50d266;
893
SigmoidLUT[892] = 32'hff5387d6;
894
SigmoidLUT[893] = 32'hff563294;
895
SigmoidLUT[894] = 32'hff58d2ca;
896
SigmoidLUT[895] = 32'hff5b68a2;
897
SigmoidLUT[896] = 32'hff5df444;
898
SigmoidLUT[897] = 32'hff6075d8;
899
SigmoidLUT[898] = 32'hff62ed87;
900
SigmoidLUT[899] = 32'hff655b76;
901
SigmoidLUT[900] = 32'hff67bfcc;
902
SigmoidLUT[901] = 32'hff6a1ab0;
903
SigmoidLUT[902] = 32'hff6c6c45;
904
SigmoidLUT[903] = 32'hff6eb4b1;
905
SigmoidLUT[904] = 32'hff70f418;
906
SigmoidLUT[905] = 32'hff732a9d;
907
SigmoidLUT[906] = 32'hff755863;
908
SigmoidLUT[907] = 32'hff777d8c;
909
SigmoidLUT[908] = 32'hff799a3b;
910
SigmoidLUT[909] = 32'hff7bae91;
911
SigmoidLUT[910] = 32'hff7dbaae;
912
SigmoidLUT[911] = 32'hff7fbeb4;
913
SigmoidLUT[912] = 32'hff81bac1;
914
SigmoidLUT[913] = 32'hff83aef6;
915
SigmoidLUT[914] = 32'hff859b71;
916
SigmoidLUT[915] = 32'hff878050;
917
SigmoidLUT[916] = 32'hff895db2;
918
SigmoidLUT[917] = 32'hff8b33b5;
919
SigmoidLUT[918] = 32'hff8d0274;
920
SigmoidLUT[919] = 32'hff8eca0d;
921
SigmoidLUT[920] = 32'hff908a9c;
922
SigmoidLUT[921] = 32'hff92443d;
923
SigmoidLUT[922] = 32'hff93f70b;
924
SigmoidLUT[923] = 32'hff95a321;
925
SigmoidLUT[924] = 32'hff974899;
926
SigmoidLUT[925] = 32'hff98e78e;
927
SigmoidLUT[926] = 32'hff9a8019;
928
SigmoidLUT[927] = 32'hff9c1254;
929
SigmoidLUT[928] = 32'hff9d9e57;
930
SigmoidLUT[929] = 32'hff9f243b;
931
SigmoidLUT[930] = 32'hffa0a418;
932
SigmoidLUT[931] = 32'hffa21e06;
933
SigmoidLUT[932] = 32'hffa3921c;
934
SigmoidLUT[933] = 32'hffa50071;
935
SigmoidLUT[934] = 32'hffa6691d;
936
SigmoidLUT[935] = 32'hffa7cc35;
937
SigmoidLUT[936] = 32'hffa929d0;
938
SigmoidLUT[937] = 32'hffaa8202;
939
SigmoidLUT[938] = 32'hffabd4e2;
940
SigmoidLUT[939] = 32'hffad2285;
941
SigmoidLUT[940] = 32'hffae6afe;
942
SigmoidLUT[941] = 32'hffafae63;
943
SigmoidLUT[942] = 32'hffb0ecc8;
944
SigmoidLUT[943] = 32'hffb22640;
945
SigmoidLUT[944] = 32'hffb35adf;
946
SigmoidLUT[945] = 32'hffb48ab8;
947
SigmoidLUT[946] = 32'hffb5b5de;
948
SigmoidLUT[947] = 32'hffb6dc63;
949
SigmoidLUT[948] = 32'hffb7fe59;
950
SigmoidLUT[949] = 32'hffb91bd4;
951
SigmoidLUT[950] = 32'hffba34e4;
952
SigmoidLUT[951] = 32'hffbb499a;
953
SigmoidLUT[952] = 32'hffbc5a09;
954
SigmoidLUT[953] = 32'hffbd6641;
955
SigmoidLUT[954] = 32'hffbe6e52;
956
SigmoidLUT[955] = 32'hffbf724d;
957
SigmoidLUT[956] = 32'hffc07243;
958
SigmoidLUT[957] = 32'hffc16e42;
959
SigmoidLUT[958] = 32'hffc2665b;
960
SigmoidLUT[959] = 32'hffc35a9e;
961
SigmoidLUT[960] = 32'hffc44b18;
962
SigmoidLUT[961] = 32'hffc537da;
963
SigmoidLUT[962] = 32'hffc620f2;
964
SigmoidLUT[963] = 32'hffc7066f;
965
SigmoidLUT[964] = 32'hffc7e85e;
966
SigmoidLUT[965] = 32'hffc8c6ce;
967
SigmoidLUT[966] = 32'hffc9a1cd;
968
SigmoidLUT[967] = 32'hffca7968;
969
SigmoidLUT[968] = 32'hffcb4dad;
970
SigmoidLUT[969] = 32'hffcc1ea8;
971
SigmoidLUT[970] = 32'hffccec68;
972
SigmoidLUT[971] = 32'hffcdb6f8;
973
SigmoidLUT[972] = 32'hffce7e65;
974
SigmoidLUT[973] = 32'hffcf42bc;
975
SigmoidLUT[974] = 32'hffd00409;
976
SigmoidLUT[975] = 32'hffd0c258;
977
SigmoidLUT[976] = 32'hffd17db4;
978
SigmoidLUT[977] = 32'hffd2362a;
979
SigmoidLUT[978] = 32'hffd2ebc5;
980
SigmoidLUT[979] = 32'hffd39e90;
981
SigmoidLUT[980] = 32'hffd44e97;
982
SigmoidLUT[981] = 32'hffd4fbe4;
983
SigmoidLUT[982] = 32'hffd5a681;
984
SigmoidLUT[983] = 32'hffd64e7b;
985
SigmoidLUT[984] = 32'hffd6f3db;
986
SigmoidLUT[985] = 32'hffd796ab;
987
SigmoidLUT[986] = 32'hffd836f6;
988
SigmoidLUT[987] = 32'hffd8d4c5;
989
SigmoidLUT[988] = 32'hffd97023;
990
SigmoidLUT[989] = 32'hffda0919;
991
SigmoidLUT[990] = 32'hffda9fb0;
992
SigmoidLUT[991] = 32'hffdb33f3;
993
SigmoidLUT[992] = 32'hffdbc5e9;
994
SigmoidLUT[993] = 32'hffdc559d;
995
SigmoidLUT[994] = 32'hffdce318;
996
SigmoidLUT[995] = 32'hffdd6e61;
997
SigmoidLUT[996] = 32'hffddf782;
998
SigmoidLUT[997] = 32'hffde7e84;
999
SigmoidLUT[998] = 32'hffdf036e;
1000
SigmoidLUT[999] = 32'hffdf8649;
1001
SigmoidLUT[1000] = 32'hffe0071d;
1002
SigmoidLUT[1001] = 32'hffe085f3;
1003
SigmoidLUT[1002] = 32'hffe102d1;
1004
SigmoidLUT[1003] = 32'hffe17dc1;
1005
SigmoidLUT[1004] = 32'hffe1f6c9;
1006
SigmoidLUT[1005] = 32'hffe26df1;
1007
SigmoidLUT[1006] = 32'hffe2e340;
1008
SigmoidLUT[1007] = 32'hffe356bf;
1009
SigmoidLUT[1008] = 32'hffe3c873;
1010
SigmoidLUT[1009] = 32'hffe43864;
1011
SigmoidLUT[1010] = 32'hffe4a69a;
1012
SigmoidLUT[1011] = 32'hffe5131a;
1013
SigmoidLUT[1012] = 32'hffe57ded;
1014
SigmoidLUT[1013] = 32'hffe5e717;
1015
SigmoidLUT[1014] = 32'hffe64ea1;
1016
SigmoidLUT[1015] = 32'hffe6b490;
1017
SigmoidLUT[1016] = 32'hffe718ea;
1018
SigmoidLUT[1017] = 32'hffe77bb7;
1019
SigmoidLUT[1018] = 32'hffe7dcfc;
1020
SigmoidLUT[1019] = 32'hffe83cbf;
1021
SigmoidLUT[1020] = 32'hffe89b06;
1022
SigmoidLUT[1021] = 32'hffe8f7d8;
1023
SigmoidLUT[1022] = 32'hffe95339;
1024
SigmoidLUT[1023] = 32'hffe9ad30;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.