OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog2/] [fcvtsq.v] - Blame information for rev 67

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2006-2016  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch<remove>@finitron.ca
6
//       ||
7
//
8
//      fcvtsq.v
9
//              - convert single precision to quad precision
10
//              - zero latency
11
//              - IEEE 754 representation
12
//
13
//
14
// This source file is free software: you can redistribute it and/or modify 
15
// it under the terms of the GNU Lesser General Public License as published 
16
// by the Free Software Foundation, either version 3 of the License, or     
17
// (at your option) any later version.                                      
18
//                                                                          
19
// This source file is distributed in the hope that it will be useful,      
20
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
21
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
22
// GNU General Public License for more details.                             
23
//                                                                          
24
// You should have received a copy of the GNU General Public License        
25
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
26
//                                                                          
27
// ============================================================================
28
 
29
`include "fpConfig.sv"
30
 
31
module fcvtsq(a, o);
32
parameter FPWID = 128;
33
`include "fpSize.sv"
34
input [31:0] a;
35
output reg [FPWID-1:0] o;
36
wire sa;
37
wire [7:0] xa;
38
wire [22:0] ma;
39
wire [23:0] fracta;
40
wire adn;
41
wire az;
42
wire xaInf;
43
wire xInf;
44
wire aNan;
45
 
46
fpDecomp #(32) u1a (.i(a), .sgn(sa), .exp(xa), .man(ma), .fract(fracta), .xz(adn), .vz(az), .xinf(xaInf), .inf(aInf), .nan(aNan) );
47
 
48
 
49
 
50
always @*
51
begin
52
    o[127] <= a[31];    // sign bit
53
casex({aNan,aInf,az,adn})
54
// NaN in, NaN out
55
4'b1xxx:
56
    begin
57
        o[126:111] <= 16'hFFFF;
58
        o[110:103] <= a[22:15];
59
        o[14:0] <= a[14:0];
60
    end
61
// Infinity in, infinity out
62
4'bx1xx:
63
    begin
64
        o[126:111] <= 16'hFFFF;
65
        o[110:0] <= 111'b0;
66
    end
67
// Zero in, zero out
68
4'bxx1x:
69
        o[126:0] <= 127'b0;
70
// Denormal
71
4'bxxx1:
72
    begin
73
        o[126:111] <= 16'h0000;
74
        o[110:88] <= ma;
75
    end
76
default:
77
    begin
78
        o[126:111] <= xa + 16256;
79
        o[110:88] <= ma;
80
    end
81
endcase
82
end
83
 
84
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.