OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog2/] [fpSigmoid.v] - Blame information for rev 45

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2017-2019  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch<remove>@finitron.ca
6
//       ||
7
//
8
//      sigmoid.v
9
//              - perform sigmoid function
10
//
11
//
12
// This source file is free software: you can redistribute it and/or modify 
13
// it under the terms of the GNU Lesser General Public License as published 
14
// by the Free Software Foundation, either version 3 of the License, or     
15
// (at your option) any later version.                                      
16
//                                                                          
17
// This source file is distributed in the hope that it will be useful,      
18
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
19
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
20
// GNU General Public License for more details.                             
21
//                                                                          
22
// You should have received a copy of the GNU General Public License        
23
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
24
//
25
//
26
// This module returns the sigmoid of a number using a lookup table.
27
// -1.0 or +1.0 is returned for entries outside of the range -8.0 to +8.0
28
//                                                                          
29
// ToTo: check pipelining of values
30
// ============================================================================
31
 
32
`include "fpConfig.sv"
33
 
34
`define ONE80                                   80'h3FFF0000000000000000
35
`define EIGHT80                         80'h40020000000000000000
36
`define FIVETWELVE80    80'h40080000000000000000
37
`define ONE64                                   64'h3FF0000000000000
38
`define EIGHT64                         64'h4020000000000000
39
`define FIVETWELVE64    64'h4080000000000000
40
`define ONE40                                   40'h3FE0000000
41
`define EIGHT40                         40'h4040000000
42
`define ONE32                                   32'h7F000000
43
`define EIGHT32                         32'h42000000
44
`define FIVETWELVE32    32'h48000000
45
 
46
module fpSigmoid(clk, ce, a, o);
47
parameter FPWID = 128;
48
`include "fpSize.sv"
49
input clk;
50
input ce;
51
input [MSB:0] a;
52
output reg [MSB:0] o;
53
 
54
wire [4:0] cmp1_o;
55
reg [4:0] cmp2_o;
56
 
57
// Just the mantissa is stored in the table to economize on the storate.
58
// The exponent is always the same value (0x3ff). Only the top 32 bits of
59
// the mantissa are stored.
60
(* ram_style="block" *)
61
reg [31:0] SigmoidLUT [0:1023];
62
 
63
// Check if the input is in the range (-8 to +8)
64
// We take the absolute value by trimming off the sign bit.
65
generate begin : ext
66
if (FPWID+`EXTRA_BITS==80)
67
fp_cmp_unit #(FPWID) u1 (.a(a & 80'h7FFFFFFFFFFFFFFFFFFF), .b(`EIGHT80), .o(cmp1_o), .nanx() );
68
else if (FPWID+`EXTRA_BITS==64)
69
fp_cmp_unit #(FPWID) u1 (.a(a & 64'h7FFFFFFFFFFFFFFF), .b(`EIGHT64), .o(cmp1_o), .nanx() );
70
else if (FPWID+`EXTRA_BITS==40)
71
fp_cmp_unit #(FPWID) u1 (.a(a & 40'h7FFFFFFFFF), .b(`EIGHT40), .o(cmp1_o), .nanx() );
72
else if (FPWID+`EXTRA_BITS==32)
73
fp_cmp_unit #(FPWID) u1 (.a(a & 32'h7FFFFFFF), .b(`EIGHT32), .o(cmp1_o), .nanx() );
74
else begin
75
        always @*
76
        begin
77
                $display("Sigmoid: unsupported FPWIDth.");
78
                $stop;
79
        end
80
end
81
end
82
endgenerate
83
 
84
initial begin
85
`include "D:\Cores6\nvio\v1\rtl\fpUnit\SigTbl.ver"
86
end
87
 
88
// Quickly multiply number by 64 (it is in range -8 to 8) then convert to integer to get
89
// table index = add 6 to exponent then convert to integer
90
wire sa;
91
wire [EMSB:0] xa;
92
wire [FMSB:0] ma;
93
fpDecomp #(FPWID) u1 (.i(a), .sgn(sa), .exp(xa), .man(ma), .fract(), .xz(), .vz(), .xinf(), .inf(), .nan() );
94
 
95
reg [9:0] lutadr;
96
wire [5:0] lzcnt;
97
wire [MSB:0] a1;
98
wire [MSB:0] i1, i2;
99
wire [EMSB:0] xa1 = xa + 4'd6;
100
assign a1 = {sa,xa1,ma};        // we know the exponent won't overflow
101
wire [31:0] man32a = SigmoidLUT[lutadr];
102
wire [31:0] man32b = lutadr==10'h3ff ? man32a : SigmoidLUT[lutadr+1];
103
wire [31:0] man32;
104
wire [79:0] sig80;
105
generate begin : la
106
if (FPWID >= 40) begin
107
wire [15:0] eps = ma[FMSB-10:FMSB-10-15];
108
wire [47:0] p = (man32b - man32a) * eps;
109
assign man32 = man32a + (p >> 26);
110
cntlz32 u3 (man32,lzcnt);
111
end
112
else if (FPWID==32) begin
113
wire [12:0] eps = ma[FMSB-10:0];
114
wire [43:0] p = (man32b - man32a) * eps;
115
assign man32 = man32a + (p >> 26);
116
cntlz32 u3 (man32,lzcnt);
117
end
118
end
119
endgenerate
120
 
121
wire [31:0] man32s = man32 << (lzcnt + 2'd1);    // +1 to hide leading one
122
 
123
// Convert to integer
124
f2i #(FPWID) u2
125
(
126
  .clk(clk),
127
  .ce(1'b1),
128
  .i(a1),
129
  .o(i2)
130
);
131
assign i1 = i2 + 512;
132
 
133
always @(posedge clk)
134
  if (ce) cmp2_o <= cmp1_o;
135
 
136
// We know the integer is in range 0 to 1023
137
always @(posedge clk)
138
  if(ce) lutadr <= i1[9:0];
139
reg sa1,sa2;
140
always @(posedge clk)
141
if (ce) sa1 <= a[FPWID-1];
142
always @(posedge clk)
143
if (ce) sa2 <= sa1;
144
 
145
generate begin : ooo
146
if (FPWID==80) begin
147
wire [14:0] ex1 = 15'h3ffe - lzcnt;
148
always @(posedge clk)
149
if (ce) begin
150
        if (cmp2_o[1])  // abs(a) less than 8 ?
151
          o <= {1'b0,ex1,man32s[31:0],32'd0};
152
        else
153
          o <= sa1 ? 80'h0 : `ONE80;
154
end
155
end
156
else if (FPWID==64) begin
157
wire [10:0] ex1 = 11'h3fe - lzcnt;
158
always @(posedge clk)
159
if (ce) begin
160
        if (cmp2_o[1])  // abs(a) less than 8 ?
161
          o <= {1'b0,ex1,man32s[31:0],20'd0};
162
        else
163
          o <= sa1 ? 64'h0 : `ONE64;
164
end
165
end
166
else if (FPWID==40) begin
167
wire [9:0] ex1 = 10'h1fe - lzcnt;
168
always @(posedge clk)
169
if (ce) begin
170
        if (cmp2_o[1])  // abs(a) less than 8 ?
171
          o <= {1'b0,ex1,man32s[31:3]};
172
        else
173
          o <= sa1 ? 40'h0 : `ONE40;
174
end
175
end
176
else if (FPWID==32) begin
177
wire [7:0] ex1 = 8'h7e - lzcnt;
178
always @(posedge clk)
179
if (ce) begin
180
        if (cmp2_o[1])  // abs(a) less than 8 ?
181
          o <= {1'b0,ex1,man32s[31:9]};
182
        else
183
          o <= sa1 ? 32'h0 : `ONE32;
184
end
185
end
186
end
187
endgenerate
188
 
189
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.