OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog2/] [mult128x128.sv] - Blame information for rev 72

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 49 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2020  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//      mult128x128.sv
9
//  - Karatsuba multiply
10
//  - 15 cycle latency
11
//
12
// BSD 3-Clause License
13
// Redistribution and use in source and binary forms, with or without
14
// modification, are permitted provided that the following conditions are met:
15
//
16
// 1. Redistributions of source code must retain the above copyright notice, this
17
//    list of conditions and the following disclaimer.
18
//
19
// 2. Redistributions in binary form must reproduce the above copyright notice,
20
//    this list of conditions and the following disclaimer in the documentation
21
//    and/or other materials provided with the distribution.
22
//
23
// 3. Neither the name of the copyright holder nor the names of its
24
//    contributors may be used to endorse or promote products derived from
25
//    this software without specific prior written permission.
26
//
27
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
28
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
29
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
30
// DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
31
// FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
32
// DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
33
// SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
34
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
35
// OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
36
// OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
37
//
38
// ============================================================================
39
 
40 72 robfinch
//`define KARATSUBA     1
41
 
42
`ifdef KARATSUBA
43
 
44 49 robfinch
module mult128x128(clk, ce, a, b, o);
45
input clk;
46
input ce;
47
input [127:0] a;
48
input [127:0] b;
49
output reg [255:0] o;
50
 
51
reg [63:0] a2, b2;
52
reg [64:0] a1, b1;
53
reg [127:0] z0, z2, z0a, z2a, z0b, z2b, z0c, z2c, z0d, z2d, p3, p4;
54
reg [128:0] z1; // extra bit for carry
55
reg sgn2, sgn10;
56
wire sgn9;
57
 
58
always @(posedge clk)
59
        if (ce) a1 <= a[63: 0] - a[127:64];  // x0-x1
60
always @(posedge clk)
61
        if (ce) b1 <= b[127:64] - b[63: 0];  // y1-y0
62
always @(posedge clk)
63
        if (ce) a2 <= a1[64] ? -a1 : a1;
64
always @(posedge clk)
65
        if (ce) b2 <= b1[64] ? -b1 : b1;
66
always @(posedge clk)
67
  if (ce) sgn2 <= a1[64]^b1[64];
68
 
69 72 robfinch
ft_delay #(.WID(1), .DEP(12)) udl1 (.clk(clk), .ce(ce), .i(sgn2), .o(sgn9));
70 49 robfinch
always @(posedge clk)
71
  if (ce) sgn10 <= sgn9;
72
 
73
// 11 cycle latency
74
mult64x64 u1 (
75
  .clk(clk),
76
  .ce(ce),
77
  .a(a[127:64]),
78
  .b(b[127:64]),
79
  .o(z2)          // z2 = x1 * y1
80
);
81
 
82
mult64x64 u2 (
83
  .clk(clk),
84
  .ce(ce),
85
  .a(a[63:0]),
86
  .b(b[63:0]),
87
  .o(z0)          // z0 = x0 * y0
88
);
89
 
90
mult64x64 u3 (
91
  .clk(clk),
92
  .ce(ce),
93
  .a(a2[63:0]),
94
  .b(b2[63:0]),
95
  .o(p3)        // p3 = abs(x0-x1) * abs(y1-y0)
96
);
97
 
98
always @(posedge clk)
99
        if (ce) p4 <= sgn9 ? -p3 : p3;
100
 
101
always @(posedge clk)
102
  if (ce) z2a <= z2;
103
always @(posedge clk)
104
  if (ce) z0a <= z0;
105
always @(posedge clk)
106
  if (ce) z2b <= z2a;
107
always @(posedge clk)
108
  if (ce) z0b <= z0a;
109
always @(posedge clk)
110
  if (ce) z2c <= z2b;
111
always @(posedge clk)
112
  if (ce) z0c <= z0b;
113
always @(posedge clk)
114
        if (ce) z1 <= {{128{sgn10}},p4} + z2c + z0c;
115
 
116
always @(posedge clk)
117
  if (ce) z2d <= z2c;
118
always @(posedge clk)
119
  if (ce) z0d <= z0c;
120
always @(posedge clk)
121
        if (ce) o <= {z2d,z0d} + {z1,64'd0};
122
 
123
endmodule
124 72 robfinch
 
125
`else
126
 
127
// This version of the multiply has a parameterized pipeline depth and allows
128
// the tools to perform the multiply. Relies on the ability of tools to retime.
129
 
130
module mult128x128(clk, ce, a, b, o);
131
parameter DEP = 18;
132
input clk;
133
input ce;
134
input [127:0] a;
135
input [127:0] b;
136
output reg [255:0] o;
137
 
138
reg [255:0] prod [0:DEP-1];
139
reg [255:0] prd;
140
integer n;
141
 
142
always_ff @(posedge clk)
143
        if (ce) prd <= a * b;
144
always_ff @(posedge clk)
145
        if (ce) prod[0] <= prd;
146
 
147
always_ff @(posedge clk)
148
        for (n = 0; n < DEP - 1; n = n + 1)
149
                if (ce) prod[n+1] <= prod[n];
150
 
151
always_ff @(posedge clk)
152
        if(ce) o <= prod[DEP-1];
153
 
154
endmodule
155
 
156
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.