OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [rtl/] [verilog2/] [mult32x32.sv] - Blame information for rev 49

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 49 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2020  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//      mult32x32.sv
9
//  - Karatsuba multiply
10
//  - six clock cycles
11
//
12
// BSD 3-Clause License
13
// Redistribution and use in source and binary forms, with or without
14
// modification, are permitted provided that the following conditions are met:
15
//
16
// 1. Redistributions of source code must retain the above copyright notice, this
17
//    list of conditions and the following disclaimer.
18
//
19
// 2. Redistributions in binary form must reproduce the above copyright notice,
20
//    this list of conditions and the following disclaimer in the documentation
21
//    and/or other materials provided with the distribution.
22
//
23
// 3. Neither the name of the copyright holder nor the names of its
24
//    contributors may be used to endorse or promote products derived from
25
//    this software without specific prior written permission.
26
//
27
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
28
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
29
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
30
// DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
31
// FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
32
// DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
33
// SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
34
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
35
// OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
36
// OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
37
//
38
// ============================================================================
39
 
40
module mult32x32(clk, ce, a, b, o);
41
input clk;
42
input ce;
43
input [31:0] a;
44
input [31:0] b;
45
output reg [63:0] o;
46
 
47
reg [15:0] a2, b2;
48
reg [16:0] a1, b1;
49
reg [31:0] z0, z2, z0a, z2a, z0b, z2b, z0c, z2c, z0d, z2d, p3, p4;
50
reg [32:0] z1;  // extra bit for carry
51
reg sgn2, sgn3, sgn4;
52
 
53
always @(posedge clk)
54
        if (ce) a1 <= a[15: 0] - a[31:16];  // x0-x1
55
always @(posedge clk)
56
        if (ce) b1 <= b[31:16] - b[15: 0];  // y1-y0
57
always @(posedge clk)
58
        if (ce) a2 <= a1[16] ? -a1 : a1;
59
always @(posedge clk)
60
        if (ce) b2 <= b1[16] ? -b1 : b1;
61
always @(posedge clk)
62
  if (ce) sgn2 <= a1[16]^b1[16];
63
always @(posedge clk)
64
  if (ce) sgn3 <= sgn2;
65
always @(posedge clk)
66
  if (ce) sgn4 <= sgn3;
67
 
68
mult16x16 u1 (
69
  .clk(clk),
70
  .ce(ce),
71
  .a(a[31:16]),
72
  .b(b[31:16]),
73
  .o(z2)          // z2 = x1 * y1
74
);
75
 
76
mult16x16 u2 (
77
  .clk(clk),
78
  .ce(ce),
79
  .a(a[15:0]),
80
  .b(b[15:0]),
81
  .o(z0)          // z0 = x0 * y0
82
);
83
 
84
mult16x16 u3 (
85
  .clk(clk),
86
  .ce(ce),
87
  .a(a2[15:0]),
88
  .b(b2[15:0]),
89
  .o(p3)        // p3 = abs(x0-x1) * abs(y1-y0)
90
);
91
 
92
always @(posedge clk)
93
        if (ce) p4 <= sgn3 ? -p3 : p3;
94
 
95
always @(posedge clk)
96
  if (ce) z2a <= z2;
97
always @(posedge clk)
98
  if (ce) z0a <= z0;
99
always @(posedge clk)
100
  if (ce) z2b <= z2a;
101
always @(posedge clk)
102
  if (ce) z0b <= z0a;
103
always @(posedge clk)
104
  if (ce) z2c <= z2b;
105
always @(posedge clk)
106
  if (ce) z0c <= z0b;
107
always @(posedge clk)
108
        if (ce) z1 <= {{32{sgn4}},p4} + z2c + z0c;
109
 
110
always @(posedge clk)
111
  if (ce) z2d <= z2c;
112
always @(posedge clk)
113
  if (ce) z0d <= z0c;
114
always @(posedge clk)
115
        if (ce) o <= {z2d,z0d} + {z1,16'd0};
116
 
117
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.