OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [test_bench/] [DDBCDToBin_tb.sv] - Blame information for rev 59

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 59 robfinch
module DDBCDToBin_tb();
2
 
3
reg rst;
4
reg clk;
5
reg [15:0] adr;
6
reg [171:0] bcd;
7
reg [7:0] count;
8
 
9
wire [127:0] bin;
10
 
11
integer outfile;
12
 
13
initial begin
14
        rst = 1'b0;
15
        clk = 1'b0;
16
        adr = 0;
17
        bcd = $urandom(1);
18
        #20 rst = 1;
19
        #50 rst = 0;
20
        #10000000  $fclose(outfile);
21
        #10 $finish;
22
end
23
 
24
always #5
25
        clk = ~clk;
26
 
27
genvar g;
28
generate begin : gRand
29
        for (g = 0; g < 172; g = g + 4) begin
30
                always @(posedge clk) begin
31
                        if (count==2)
32
                                bcd[g+3:g] <= $urandom() % 10;
33
                end
34
        end
35
end
36
endgenerate
37
 
38
always @(posedge clk)
39
if (rst) begin
40
        adr <= 0;
41
        count <= 0;
42
end
43
else
44
begin
45
  if (adr==0) begin
46
    outfile = $fopen("d:/cores2022/rf6809/rtl/dfpu/test_bench/DDBinToBCD_tvo.txt", "wb");
47
    $fwrite(outfile, " ------ bin ------  ------ bcd ------  \n");
48
  end
49
        count <= count + 1;
50
        if (count > 140)
51
                count <= 1'd1;
52
        if (adr==2) begin
53
                bcd <= 172'h010;
54
        end
55
        if (adr==3) begin
56
                bcd <= 172'h0100;
57
        end
58
        if (adr==4) begin
59
                bcd <= 172'h12345678;
60
        end
61
        if (count==140) begin
62
        $fwrite(outfile, "%h\t%h\n", bin, bcd);
63
                adr <= adr + 1;
64
        end
65
end
66
 
67
//fpMulnr #(64) u1 (clk, 1'b1, a, b, o, rm);//, sign_exe, inf, overflow, underflow);
68
DDBCDToBin #(128) u6 (
69
        .rst(rst),
70
  .clk(clk),
71
  .ld(count==3),
72
  .bcd(bcd),
73
  .bin(bin)
74
);
75
 
76
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.