OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [test_bench/] [fpAddsub_tb.v] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2019  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch<remove>@finitron.ca
6
//       ||
7
//
8
//      fpAddsub_tb.v
9
//
10
// This source file is free software: you can redistribute it and/or modify 
11
// it under the terms of the GNU Lesser General Public License as published 
12
// by the Free Software Foundation, either version 3 of the License, or     
13
// (at your option) any later version.                                      
14
//                                                                          
15
// This source file is distributed in the hope that it will be useful,      
16
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
17
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
18
// GNU General Public License for more details.                             
19
//                                                                          
20
// You should have received a copy of the GNU General Public License        
21
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
22
//                                                                          
23
// ============================================================================
24
 
25 31 robfinch
module fpAddsub_tb();
26
reg rst;
27
reg clk;
28
reg [15:0] adr;
29
reg [103:0] mem [0:38000];
30
reg [103:0] memo [0:38000];
31 32 robfinch
reg [199:0] memd [0:38000];
32
reg [199:0] memdo [0:38000];
33 31 robfinch
reg [391:0] memq [0:38000];
34
reg [391:0] memqo [0:38000];
35
reg [31:0] a,b,a6,b6;
36 32 robfinch
reg [63:0] ad, bd;
37 31 robfinch
reg [127:0] aq, bq;
38
wire [127:0] oq;
39
wire [31:0] a5,b5;
40
wire [31:0] o;
41 32 robfinch
wire [63:0] od;
42
reg [3:0] rm, op, rmq, opq, rmd, opd;
43 31 robfinch
wire [3:0] rm5;
44
wire [3:0] op5;
45
 
46
initial begin
47
        rst = 1'b0;
48
        clk = 1'b0;
49
        adr = 0;
50
        $readmemh("d:/cores6/nvio/v2/rtl/fpUnit/test_bench/fpAddsub_tvs.txt", mem);
51 32 robfinch
        $readmemh("d:/cores6/nvio/v2/rtl/fpUnit/test_bench/fpAddsub_tvd.txt", memd);
52 31 robfinch
        $readmemh("d:/cores6/nvio/v2/rtl/fpUnit/test_bench/fpAddsub_tvq.txt", memq);
53
        #20 rst = 1;
54
        #50 rst = 0;
55
end
56
 
57
always #5
58
        clk = ~clk;
59
 
60
reg [7:0] count;
61
 
62
always @(posedge clk)
63
if (rst) begin
64
        adr <= 0;
65
        count <= 0;
66
end
67
else
68
begin
69
        count <= count + 1;
70
        if (count==49)
71
                count <= 0;
72
        if (count==2) begin
73
                a <= mem[adr][31: 0];
74
                b <= mem[adr][63:32];
75
                rm <= mem[adr][99:96];
76
                op <= mem[adr][103:100];
77 32 robfinch
                ad <= memq[adr][63: 0];
78
                bd <= memq[adr][127:64];
79
                rmd <= memq[adr][195:192];
80
                opd <= memq[adr][199:196];
81 31 robfinch
                aq <= memq[adr][127: 0];
82
                bq <= memq[adr][255:128];
83
                rmq <= memq[adr][387:384];
84
                opq <= memq[adr][391:388];
85
        end
86
        if (count==48) begin
87
                memo[adr] <= {op,rm,o,b,a};
88 32 robfinch
                memdo[adr] <= {opd,rmd,od,bd,ad};
89 31 robfinch
                memqo[adr] <= {opq,rmq,oq,bq,aq};
90
                if (adr==8192) begin
91
                        $writememh("d:/cores6/nvio/v2/rtl/fpUnit/test_bench/fpAddsub_tvso.txt", memo);
92 32 robfinch
                        $writememh("d:/cores6/nvio/v2/rtl/fpUnit/test_bench/fpAddsub_tvdo.txt", memdo);
93 31 robfinch
                        $writememh("d:/cores6/nvio/v2/rtl/fpUnit/test_bench/fpAddsub_tvqo.txt", memqo);
94
                        $finish;
95
                end
96
                adr <= adr + 1;
97
        end
98
end
99
 
100
fpAddsubnr #(32) u1 (clk, 1'b1, rm[2:0], op[0], a, b, o);
101 32 robfinch
fpAddsubnr #(64) u2 (clk, 1'b1, rmd[2:0], opd[0], ad, bd, od);
102
fpAddsubnr #(128) u3 (clk, 1'b1, rmq[2:0], opq[0], aq, bq, oq);
103 31 robfinch
 
104
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.