OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.accelerator/] [dctqidct/] [1.0/] [hdl/] [dct/] [DCT_core_tb.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
------------------------------------------------------------------------------
2
-- Author        : Timo Alho
3
-- e-mail        : timo.a.alho@tut.fi
4
-- Date          : 11.08.2004 13:05:07
5
-- File          : DCT_core_tb.vhd
6
-- Design        : VHDL Entity for dct.DCT_core_tb.symbol
7
-- Generated by Mentor Graphics' HDL Designer 2003.1 (Build 399)
8
------------------------------------------------------------------------------
9
 
10
 
11
ENTITY DCT_core_tb IS
12
-- Declarations
13
 
14
END DCT_core_tb ;
15
 
16
------------------------------------------------------------------------------
17
-- Author        : Timo Alho
18
-- e-mail        : timo.a.alho@tut.fi
19
-- Date          : 11.08.2004 13:05:07
20
-- File          : DCT_core_tb.vhd
21
-- Design        : VHDL Architecture for dct.DCT_core_tb.symbol
22
-- Generated by Mentor Graphics' HDL Designer 2003.1 (Build 399)
23
------------------------------------------------------------------------------
24
LIBRARY ieee;
25
USE ieee.std_logic_1164.ALL;
26
USE ieee.std_logic_arith.ALL;
27
LIBRARY dct;
28
USE dct.DCT_pkg.all;
29
LIBRARY STD;
30
USE STD.TEXTIO.ALL;
31
USE IEEE.STD_LOGIC_TEXTIO.ALL;
32
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
33
 
34
 
35
ARCHITECTURE struct OF DCT_core_tb IS
36
 
37
   -- Architecture declarations
38
 
39
   -- Internal signal declarations
40
   SIGNAL DC               : std_logic;
41
   SIGNAL clk              : std_logic;
42
   SIGNAL data_in          : std_logic_vector(DCT_inputw_co-1 DOWNTO 0);
43
   SIGNAL data_out         : std_logic_vector(DCT_resultw_co-1 DOWNTO 0);
44
   SIGNAL next_block_ready : std_logic;
45
   SIGNAL ready_for_rx     : std_logic;
46
   SIGNAL rst_n            : std_logic;
47
   SIGNAL wr_new_data      : std_logic;
48
   SIGNAL wr_out           : std_logic;
49
 
50
 
51
   -- Component Declarations
52
   COMPONENT DCT_core
53
   PORT (
54
      clk              : IN     std_logic ;
55
      -- input data bus
56
      data_in          : IN     std_logic_vector (DCT_inputw_co-1 DOWNTO 0);
57
      -- output status. (set to '1' if output block is capable of receiving column/row)
58
      next_block_ready : IN     std_logic ;
59
      rst_n            : IN     std_logic ;
60
      -- write signal for input data
61
      wr_new_data      : IN     std_logic ;
62
      -- DC coefficient ('1' when DC coefficient is in output bus)
63
      DC               : OUT    std_logic ;
64
      -- output data bus
65
      data_out         : OUT    std_logic_vector (DCT_resultw_co-1 DOWNTO 0);
66
      -- input data status. ('1' if block is capable of receiving column/row)
67
      ready_for_rx     : OUT    std_logic ;
68
      -- write signal for output data
69
      wr_out           : OUT    std_logic
70
   );
71
   END COMPONENT;
72
   COMPONENT DCT_core_tester
73
   PORT (
74
      DC               : IN     std_logic ;
75
      data_out         : IN     std_logic_vector (DCT_resultw_co-1 DOWNTO 0);
76
      ready_for_rx     : IN     std_logic ;
77
      wr_out           : IN     std_logic ;
78
      clk              : OUT    std_logic ;
79
      data_in          : OUT    std_logic_vector (DCT_inputw_co-1 DOWNTO 0);
80
      next_block_ready : OUT    std_logic ;
81
      rst_n            : OUT    std_logic ;
82
      wr_new_data      : OUT    std_logic
83
   );
84
   END COMPONENT;
85
 
86
 
87
BEGIN
88
 
89
   -- Instance port mappings.
90
   DUT : DCT_core
91
      PORT MAP (
92
         clk              => clk,
93
         data_in          => data_in,
94
         next_block_ready => next_block_ready,
95
         rst_n            => rst_n,
96
         wr_new_data      => wr_new_data,
97
         DC               => DC,
98
         data_out         => data_out,
99
         ready_for_rx     => ready_for_rx,
100
         wr_out           => wr_out
101
      );
102
   tester : DCT_core_tester
103
      PORT MAP (
104
         DC               => DC,
105
         data_out         => data_out,
106
         ready_for_rx     => ready_for_rx,
107
         wr_out           => wr_out,
108
         clk              => clk,
109
         data_in          => data_in,
110
         next_block_ready => next_block_ready,
111
         rst_n            => rst_n,
112
         wr_new_data      => wr_new_data
113
      );
114
 
115
END struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.