OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.accelerator/] [dctqidct/] [1.0/] [hdl/] [idct/] [IDCT_core_tb.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
------------------------------------------------------------------------------
2
-- Author        : Timo Alho
3
-- e-mail        : timo.a.alho@tut.fi
4
-- Date          : 11.08.2004 13:20:37
5
-- File          : IDCT_core_tb.vhd
6
-- Design        : VHDL Entity for idct.IDCT_core_tb.symbol
7
-- Generated by Mentor Graphics' HDL Designer 2003.1 (Build 399)
8
------------------------------------------------------------------------------
9
 
10
 
11
ENTITY IDCT_core_tb IS
12
-- Declarations
13
 
14
END IDCT_core_tb ;
15
 
16
------------------------------------------------------------------------------
17
-- Author        : Timo Alho
18
-- e-mail        : timo.a.alho@tut.fi
19
-- Date          : 11.08.2004 13:20:38
20
-- File          : IDCT_core_tb.vhd
21
-- Design        : VHDL Architecture for idct.IDCT_core_tb.symbol
22
-- Generated by Mentor Graphics' HDL Designer 2003.1 (Build 399)
23
------------------------------------------------------------------------------
24
LIBRARY ieee;
25
USE ieee.std_logic_1164.ALL;
26
USE ieee.std_logic_arith.ALL;
27
LIBRARY idct;
28
USE idct.IDCT_pkg.all;
29
 
30
 
31
ARCHITECTURE struct OF IDCT_core_tb IS
32
 
33
   -- Architecture declarations
34
 
35
   -- Internal signal declarations
36
   SIGNAL clk              : std_logic;
37
   SIGNAL data_in          : std_logic_vector(IDCT_inputw_co-1 DOWNTO 0);
38
   SIGNAL data_out         : std_logic_vector(IDCT_resultw_co-1 DOWNTO 0);
39
   SIGNAL next_block_ready : std_logic;
40
   SIGNAL ready_for_rx     : std_logic;
41
   SIGNAL rst_n            : std_logic;
42
   SIGNAL wr_new_data      : std_logic;
43
   SIGNAL wr_out           : std_logic;
44
 
45
 
46
   -- Component Declarations
47
   COMPONENT IDCT_core
48
   PORT (
49
      clk              : IN     std_logic ;
50
      rst_n            : IN     std_logic ;
51
      -- input data bus
52
      data_in          : IN     std_logic_vector (IDCT_inputw_co-1 DOWNTO 0);
53
      -- input status ('1' if block is capable of receiving column/row)
54
      ready_for_rx     : OUT    std_logic ;
55
      -- write signal for input data
56
      wr_new_data      : IN     std_logic ;
57
      -- output data bus
58
      data_out         : OUT    std_logic_vector (IDCT_resultw_co-1 DOWNTO 0);
59
      -- output status (set to '1', if next block is cabaple of receiving column/row)
60
      next_block_ready : IN     std_logic ;
61
      -- write signal for output data
62
      wr_out           : OUT    std_logic
63
   );
64
   END COMPONENT;
65
   COMPONENT IDCT_core_tester
66
   PORT (
67
      data_out         : IN     std_logic_vector (IDCT_resultw_co-1 DOWNTO 0);
68
      ready_for_rx     : IN     std_logic ;
69
      wr_out           : IN     std_logic ;
70
      clk              : OUT    std_logic ;
71
      data_in          : OUT    std_logic_vector (IDCT_inputw_co-1 DOWNTO 0);
72
      next_block_ready : OUT    std_logic ;
73
      rst_n            : OUT    std_logic ;
74
      wr_new_data      : OUT    std_logic
75
   );
76
   END COMPONENT;
77
 
78
 
79
BEGIN
80
 
81
   -- Instance port mappings.
82
   DUT : IDCT_core
83
      PORT MAP (
84
         clk              => clk,
85
         data_in          => data_in,
86
         next_block_ready => next_block_ready,
87
         rst_n            => rst_n,
88
         wr_new_data      => wr_new_data,
89
         data_out         => data_out,
90
         ready_for_rx     => ready_for_rx,
91
         wr_out           => wr_out
92
      );
93
   tester : IDCT_core_tester
94
      PORT MAP (
95
         data_out         => data_out,
96
         ready_for_rx     => ready_for_rx,
97
         wr_out           => wr_out,
98
         clk              => clk,
99
         data_in          => data_in,
100
         next_block_ready => next_block_ready,
101
         rst_n            => rst_n,
102
         wr_new_data      => wr_new_data
103
      );
104
 
105
END struct;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.