OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.accelerator/] [dctqidct/] [1.0/] [hdl/] [quantizer/] [Quantizer_pkg.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
------------------------------------------------------------------------------
2
-- TUT / DCS
3
-------------------------------------------------------------------------------
4
-- Author               : Timo Alho
5
-- e-mail               : timo.a.alho@tut.fi
6
-- Date                 : 22.06.2004 09:26:51
7
-- File                 : Quantizer_pkg.vhd
8
-- Design               : Generic declarations for Quantizer
9
------------------------------------------------------------------------------
10
-- Description:
11
-------------------------------------------------------------------------------
12
 
13
LIBRARY ieee;
14
USE ieee.std_logic_1164.ALL;
15
PACKAGE Quantizer_pkg IS
16
  CONSTANT QUANT_inputw_co : integer := 12;  -- width of quantizer input
17
  CONSTANT QUANT_resultw_co  : integer := 8;  --width of quantized values
18
  CONSTANT IQUANT_resultw_co : integer := 12;  --width of inverse quantized values
19
END Quantizer_pkg;
20
 
21
 
22
 
23
 
24
 
25
 
26
 
27
 
28
 
29
 
30
 
31
 
32
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.