OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [fh_mesh_2d/] [1.0/] [sim/] [wave.do] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Logic /simple_test_mesh_2d/clk_noc
4
add wave -noupdate -format Logic /simple_test_mesh_2d/clk_ip
5
add wave -noupdate -format Logic /simple_test_mesh_2d/rst_n
6
add wave -noupdate -format Literal /simple_test_mesh_2d/rx_av
7
add wave -noupdate -format Literal -radix hexadecimal /simple_test_mesh_2d/rx_data
8
add wave -noupdate -format Literal /simple_test_mesh_2d/rx_we
9
add wave -noupdate -format Literal /simple_test_mesh_2d/rx_txlen
10
add wave -noupdate -format Literal /simple_test_mesh_2d/rx_full
11
add wave -noupdate -format Literal /simple_test_mesh_2d/rx_full_r
12
add wave -noupdate -format Literal /simple_test_mesh_2d/rx_empty
13
add wave -noupdate -format Literal /simple_test_mesh_2d/tx_av
14
add wave -noupdate -format Literal -radix hexadecimal /simple_test_mesh_2d/tx_data
15
add wave -noupdate -format Literal /simple_test_mesh_2d/tx_re
16
add wave -noupdate -format Literal /simple_test_mesh_2d/tx_empty
17
add wave -noupdate -divider router_0_0
18
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__0/map_router_colums__0/router_r_c/clk_ip
19
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__0/map_router_colums__0/router_r_c/clk_mesh
20
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__0/map_router_colums__0/router_r_c/rst_n
21
add wave -noupdate -format Literal -radix hexadecimal /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__0/map_router_colums__0/router_r_c/data_ip_tx_in
22
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__0/map_router_colums__0/router_r_c/we_ip_tx_in
23
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__0/map_router_colums__0/router_r_c/empty_ip_tx_out
24
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__0/map_router_colums__0/router_r_c/re_s_in
25
add wave -noupdate -format Literal -radix hexadecimal /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__0/map_router_colums__0/router_r_c/data_s_out
26
add wave -noupdate -divider router_1_0
27
add wave -noupdate -format Literal -radix hexadecimal /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__0/router_r_c/data_n_in
28
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__0/router_r_c/empty_n_in
29
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__0/router_r_c/re_n_out
30
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__0/router_r_c/re_e_in
31
add wave -noupdate -format Literal -radix hexadecimal /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__0/router_r_c/data_e_out
32
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__0/router_r_c/empty_e_out
33
add wave -noupdate -divider router_1_1
34
add wave -noupdate -format Literal -radix hexadecimal /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__1/router_r_c/data_w_in
35
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__1/router_r_c/empty_w_in
36
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__1/router_r_c/re_e_in
37
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__1/router_r_c/re_w_out
38
add wave -noupdate -format Literal -radix hexadecimal /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__1/router_r_c/data_e_out
39
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__1/router_r_c/empty_e_out
40
add wave -noupdate -divider router_1_2
41
add wave -noupdate -format Literal -radix hexadecimal /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__2/router_r_c/data_w_in
42
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__2/router_r_c/empty_w_in
43
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__2/router_r_c/re_w_out
44
add wave -noupdate -format Literal -radix hexadecimal /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__2/router_r_c/data_ip_rx_out
45
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__2/router_r_c/re_ip_rx_in
46
add wave -noupdate -format Logic /simple_test_mesh_2d/i_mesh_2d_with_pkt_codec_top/mesh/map_router_rows__1/map_router_colums__2/router_r_c/empty_ip_rx_out
47
TreeUpdate [SetDefaultTree]
48
WaveRestoreCursors {{Cursor 1} {198 ns} 0}
49
configure wave -namecolwidth 172
50
configure wave -valuecolwidth 100
51
configure wave -justifyvalue left
52
configure wave -signalnamewidth 1
53
configure wave -snapdistance 10
54
configure wave -datasetprefix 0
55
configure wave -rowmargin 4
56
configure wave -childrowmargin 2
57
configure wave -gridoffset 0
58
configure wave -gridperiod 1
59
configure wave -griddelta 40
60
configure wave -timeline 0
61
configure wave -timelineunits ms
62
update
63
WaveRestoreZoom {0 ns} {277 ns}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.