OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] [2.0/] [vhd/] [cfg_init_pkg.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-------------------------------------------------------------------------------
2
-- Funbase IP library Copyright (C) 2011 TUT Department of Computer Systems
3
--
4
-- This source file may be used and distributed without
5
-- restriction provided that this copyright statement is not
6
-- removed from the file and that any derivative work contains
7
-- the original copyright notice and the associated disclaimer.
8
--
9
-- This source file is free software; you can redistribute it
10
-- and/or modify it under the terms of the GNU Lesser General
11
-- Public License as published by the Free Software Foundation;
12
-- either version 2.1 of the License, or (at your option) any
13
-- later version.
14
--
15
-- This source is distributed in the hope that it will be
16
-- useful, but WITHOUT ANY WARRANTY; without even the implied
17
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
18
-- PURPOSE.  See the GNU Lesser General Public License for more
19
-- details.
20
--
21
-- You should have received a copy of the GNU Lesser General
22
-- Public License along with this source; if not, download it
23
-- from http://www.opencores.org/lgpl.shtml
24
-------------------------------------------------------------------------------
25
-------------------------------------------------
26
-- File        cfg_init_pkg.vhdl
27
-- Design
28
-- Description Package for  Hibi2 cfg_mem
29
-- 
30
--              This pkg contains the initial values for cfg parameters
31
--              
32
--              DO NOT COMPILE OR SYNTHESIZE THIS FILE FROM HIBI/VHDL
33
--              DIRECTORY.
34
--              COPY THIS TO YOUR WORK DIRECTORY AND MODIFY THAT COPY ONLY!
35
--              
36
-- Author :     Erno salminen
37
-- e-mail       : erno.salminen@tut.fi
38
-- Date :       16.12.2005
39
-- Project :    in the jungle
40
 
41
-- Modified :
42
-------------------------------------------------
43
library ieee;
44
use ieee.std_logic_1164.all;
45
use ieee.std_logic_arith.all;
46
 
47
 
48
package cfg_init_pkg is
49
 
50
 
51
 
52
  -- Bounds for init arrys
53
  -- Wrappers can less or equal num of pages or slots
54
  constant max_n_tslots_c    : integer := 3;
55
  constant max_n_cfg_pages_c : integer := 2;
56
 
57
  type tframe_1d_arr_type is array (1 to max_n_cfg_pages_c) of integer;  --
58
                                                                         --note indexing
59
  type tslot_param_1d_arr_type is array (0 to max_n_tslots_c-1) of integer;
60
  type tslot_param_2d_array_type is array ( 1 to max_n_cfg_pages_c) of tslot_param_1d_arr_type;
61
 
62
 
63
  constant tframe_c : tframe_1d_arr_type := (100, 75);
64
 
65
  constant tslot_start_c : tslot_param_2d_array_type := ((10, 30, 40),
66
                                                         (12, 32, 42)
67
                                                         );
68
 
69
  constant tslot_stop_c : tslot_param_2d_array_type := ((18, 35, 85),
70
                                                         (6, 16, 36)
71
                                                         );
72
 
73
  constant tslot_id_c : tslot_param_2d_array_type := ((3, 4, 5),
74
                                                      (2, 3, 1)
75
                                                      );
76
 
77
 
78
 
79
end cfg_init_pkg;
80
 
81
 
82
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.