OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] [2.0/] [vhd/] [hibiv2_pkg.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-------------------------------------------------------------------------------
2
-- Funbase IP library Copyright (C) 2011 TUT Department of Computer Systems
3
--
4
-- This source file may be used and distributed without
5
-- restriction provided that this copyright statement is not
6
-- removed from the file and that any derivative work contains
7
-- the original copyright notice and the associated disclaimer.
8
--
9
-- This source file is free software; you can redistribute it
10
-- and/or modify it under the terms of the GNU Lesser General
11
-- Public License as published by the Free Software Foundation;
12
-- either version 2.1 of the License, or (at your option) any
13
-- later version.
14
--
15
-- This source is distributed in the hope that it will be
16
-- useful, but WITHOUT ANY WARRANTY; without even the implied
17
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
18
-- PURPOSE.  See the GNU Lesser General Public License for more
19
-- details.
20
--
21
-- You should have received a copy of the GNU Lesser General
22
-- Public License along with this source; if not, download it
23
-- from http://www.opencores.org/lgpl.shtml
24
-------------------------------------------------------------------------------
25
-------------------------------------------------
26
-- File        hibiv2_pkg.vhdl
27
-- Design
28
-- Description Package for  Hibi2 wrapper, its sub-blocks, and hibiv2_top_level
29
-- 
30
--              This pkg should not be edited when system changes.
31
--              Make necessary changes in system_pkg, not here.
32
--              
33
-- Author :     Erno salminen
34
-- e-mail       : erno.salminen@tut.fi
35
-- Date :       01.08.2003
36
-- Project :    in the jungle
37
 
38
-- Modified :
39
-- 04.08.03     ES Name changed HIBIPackage -> hibiv2_package
40
-- 18.09.03     ES Major changes in pkg usage
41
--                 hibi_pkg now uses system_pkg, not other way round anymore
42
--
43
-- 07.11.03     ES stuff moved to hibiv2_array_pkg, use of system_pkg removed
44
-- 10.11.03     ES name changed package -> pkg
45
-------------------------------------------------
46
library ieee;
47
use ieee.std_logic_1164.all;
48
use ieee.std_logic_arith.all;
49
 
50
 
51
package hibiv2_pkg is
52
  -----------------------------------------------------------------------------
53
  -- Do not edit below this point
54
  -----------------------------------------------------------------------------
55
 
56
  -- Commands
57
  constant comm_width_c        : integer := 3;   -- width of the command bus
58
 
59
  constant idle_c            : std_logic_vector ( comm_width_c-1 downto 0) := conv_std_logic_vector ( 0, comm_width_c);
60
  constant w_cfg_c           : std_logic_vector ( comm_width_c-1 downto 0) := conv_std_logic_vector ( 1, comm_width_c);
61
  constant w_data_c          : std_logic_vector ( comm_width_c-1 downto 0) := conv_std_logic_vector ( 2, comm_width_c);
62
  constant w_msg_c           : std_logic_vector ( comm_width_c-1 downto 0) := conv_std_logic_vector ( 3, comm_width_c);
63
 
64
  constant r_data_c         : std_logic_vector ( comm_width_c-1 downto 0) := conv_std_logic_vector ( 4, comm_width_c);
65
  constant r_cfg_c          : std_logic_vector ( comm_width_c-1 downto 0) := conv_std_logic_vector ( 5, comm_width_c);
66
  constant multicast_data_c : std_logic_vector ( comm_width_c-1 downto 0) := conv_std_logic_vector ( 6, comm_width_c);
67
  constant multicast_msg_c  : std_logic_vector ( comm_width_c-1 downto 0) := conv_std_logic_vector ( 7, comm_width_c);
68
 
69
 
70
 
71
 
72
end hibiv2_pkg;
73
 
74
 
75
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.