OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] [3.0/] [doc/] [Datasheet/] [Latex/] [hibi_datasheet_ref.bib] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
 
2
% ------------------------------------------
3
% List of references
4
% Soc Interconnection Research Group
5
% Institute of Digital and Computer Systems
6
% Tampere University of Technology
7
% ------------------------------------------
8
 
9
% ------------------------------------------
10
% Created by : Erno Salminen (ES), 07.04.2004
11
% Modified   :
12
% ------------------------------------------
13
 
14
% ------------------------------------------
15
% It is best to emacs for editing this file
16
% because is has a separate bibtex mode which
17
% gives additional menus for adding new refs.
18
%
19
% Do not ever remove any references or modify their
20
% identification!
21
% You can still add comments or correct data.
22
% Be careful when adding  to ref_id! (see below)
23
% ------------------------------------------
24
 
25
% --------------------------
26
% Example of journal artcile
27
% --------------------------
28
% In Latex doc, type \cite{ref_id} to add ref to this record.
29
% ref_id is of type 
30
%  = last name of first author, e.g. salminen
31
%         = two numbers, e.g. 03
32
%       = 'running number' to distinquish several refs of
33
%                 one author on a given year, start with a
34
% use only small case letters and no white spaces, e.g. salminen03a
35
% Explanations from: http://www.ecst.csuchico.edu/~jacobsd/bib/formats/bibtex.html
36
% Article{ref_id,
37
% author = {The name(s) of the author(s), in the format
38
%           described in the LaTeX book. },
39
% title = {The work's title, typed as explained in the LaTeX book. },
40
% journal = {A journal name. Abbreviations are provided for many journals. },
41
% year = { The year of publication or, for an unpublished work,
42
%          the year it was written. Generally it should consist
43
%          of four numerals, such as 1984},
44
% OPTkey = {Used for alphabetizing, cross referencing, and creating a
45
%           label when the ``author'' information is missing.
46
%           This field should not be confused with the key that
47
%           appears in the cite command and at the beginning
48
%           of the database entry. },
49
% OPTvolume = {The volume of a journal or multi-volume book. },
50
% OPTnumber = {The number of a journal, magazine, technical report,
51
%              or of a work in a series. An issue of a journal or
52
%              magazine is usually identified by its volume and
53
%              number; the organization that issues a technical
54
%              report usually gives it a number; and sometimes
55
%              books are given numbers in a namedseries. },
56
% OPTpages = { One or more page numbers or range of numbers,
57
%              such as 42--111 or 7,41,73--97
58
%              or 43+ (the `+' in this last example indicates pages
59
%              following that don't form a simple range). To
60
%              make it easier to maintain Scribe-compatible databases,
61
%              the standard styles convert a single dash (as in 7-33)
62
%              to the double dash used in TeX to denote number
63
%              ranges (as in 7--33). },
64
% OPTmonth = {The month in which the work was published or,
65
%             for an unpublished work, in which it was written.
66
%             You should use the standard three-letter abbreviation,
67
%             as described in Appendix B.1.3 of the LaTeX book. },
68
% OPTnote = {Any additional information that can help the reader.
69
%            The first word should be capitalized. },
70
% OPTannote = {An annotation. It is not used by the standard bibliography
71
%              styles, but may be used by others that produce
72
%              an annotated bibliography. } %}
73
% --------------------------
74
 
75
 
76
@STRING(p-ieee    = "Proc. IEEE")
77
@STRING(t-comp    = "IEEE Trans. Comput.")
78
@STRING(t-casii   = "IEEE Trans. Circuits Syst. II")
79
@STRING(t-casvt   = "IEEE Trans. Circuits Syst. Video Technol.")
80
@STRING(t-vlsi    = "IEEE Trans. VLSI Syst.")
81
@STRING(t-comm    = "IEEE Trans. Commun.")
82
@STRING(t-sp      = "IEEE Trans. Signal Processing")
83
@STRING(t-pds     = "IEEE Trans. Parallel Distrib. Syst.")
84
@STRING(t-it      = "IEEE Trans. Inform. Theory")
85
@STRING(t-assp    = "IEEE Trans. Acoust., Speech, Signal Processing")
86
@STRING(t-ae      = "IEEE Trans. on Audio and Electroacoustics")
87
@STRING(t-emc     = "IEEE Trans. Electromagn. Compat.")
88
@STRING(t-ce      = "IEEE Trans. Consumer Electron.")
89
@STRING(j-ssc     = "IEEE J. Solid-State Circuits")
90
@STRING(t-cadics  = "IEEE Trans. Computer-Aided Design of Integrated Circuits
91
                     and Systems")
92
@STRING(m-comm    = "IEEE Commun. Mag.")
93
@STRING(int-comp  = "Integrated Computer-Aided Eng.")
94
@STRING(m-dtc     = "IEEE Des. Test Comput.")
95
@STRING(j-acm     = "Journal of the ACM")
96
@STRING(j-vlsisp  = "Journal of VLSI Signal Processing")
97
@STRING(sp        = "Signal Processing")
98
@STRING(rti       = "Real-Time Imaging")
99
@STRING(iee-com   = "IEE Proceedings - Communications")
100
@STRING(mc        = "Mathematics of Computation")
101
@STRING(el        = "Electronics Letters")
102
@STRING(cssp      = "Circuits, Systems, and Signal Processing")
103
@STRING(pc        = "Parallel Computing")
104
@STRING(iscas     = "Proc. IEEE Int. Symposium on Circuits and Systems")
105
@STRING(icassp    = "Proc. IEEE Int. Conference on Acoustics, Speech, and
106
                     Signal Processing")
107
@STRING(cicc      = "Proc. IEEE Custom Integrated Circuits Conference")
108
@STRING{spe      = "Software - Practice and Experience"}
109
@STRING{pldi     = "Proc. Conf. on Programming Languages Design and Implementation"}
110
 
111
% Ernon lisäyksiä
112
@STRING{kap      ="Kluwer Academic Publishers"}
113
@STRING{tresoc   ="Intl. Symposium on Soc"}
114
 
115
 
116
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
117
%%
118
%% AAA
119
%%
120
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
121
 
122
 
123
%Ahmad, B.; Erdogan, A.T.; Khawam, S.;
124
%Architecture of a Dynamically Reconfigurable NoC for Adaptive Reconfigurable MPSoC
125
%Adaptive Hardware and Systems, 2006. AHS 2006. First NASA/ESA Conference on
126
%15-18 June 2006 Page(s):405 - 411
127
@InProceedings{ahmad06,
128
  author =       {B. Ahmad and  A.T. Erdogan and S. Khawam},
129
  title =        {Architecture of a Dynamically Reconfigurable {NoC} for Adaptive Reconfigurable {MPSoC}},
130
  booktitle =    {AHS},
131
  OPTcrossref =  {},
132
  OPTkey =       {},
133
  pages =        {405--411},
134
  year =         {2006},
135
  OPTeditor =    {},
136
  OPTvolume =    {},
137
  OPTnumber =    {},
138
  OPTseries =    {},
139
  OPTaddress =   {},
140
  month =        {Jun.},
141
  OPTorganization = {},
142
  OPTpublisher = {},
143
  OPTnote =      {},
144
  OPTannote =    {}
145
}
146
 
147
 
148
 
149
%K. Anjo, Y. Yamada, M. Koibuchi, A. Jouraku, H. Amano,
150
%BLACK-BUS: a new data-transfer technique using local address on networks-on-chips,
151
%In. Proc.  18th International Parallel and Distributed Processing Symposium (IPDPS '04),
152
%April 26-30, 2004, pp. 10 - 17.
153
@InProceedings{anjo04,
154
  author =       {K. Anjo and Y. Yamada and M. Koibuchi and A. Jouraku and H. Amano},
155
  title =        {BLACK-BUS: a new data-transfer technique using local address on networks-on-chips},
156
  booktitle =    {IPDPS},
157
  OPTcrossref =  {},
158
  OPTkey =       {},
159
  pages =        {10--17},
160
  year =         {2004},
161
  OPTeditor =    {},
162
  OPTvolume =    {},
163
  OPTnumber =    {},
164
  OPTseries =    {},
165
  OPTaddress =   {},
166
  month =        {Apr.},
167
  OPTorganization = {},
168
  OPTpublisher = {},
169
  OPTnote =      {},
170
  OPTannote =    {}
171
}
172
 
173
%Andriahantenaina, A. et al.:
174
%SPIN: a scalable, packet switched, on-chip micro-network.
175
%In Proc. of DATE (2003) 70-73
176
%paukapää on kirjoittanut oman nimensä väärin, tää on nyt (sic)
177
@InProceedings{adriahantenaina03,
178
  author =       {Adrijean Adriahantenaina and Herve Charlery and Alain Greiner and Laurent Mortiez and Cesar Albenes Zeferino},
179
  title =        {{SPIN}: a scalable, packet switched, on-chip micro-network},
180
  booktitle =    {DATE},
181
  OPTcrossref =  {},
182
  OPTkey =       {},
183
  pages =        {70--73},
184
  year =         {2003},
185
  OPTeditor =    {},
186
  OPTvolume =    {},
187
  OPTnumber =    {},
188
  OPTseries =    {},
189
  OPTaddress =   {Munich, Germany},
190
  month =        {Mar.},
191
  OPTorganization = {},
192
  OPTpublisher = {},
193
  OPTnote =      {},
194
  OPTannote =    {}
195
}
196
 
197
 
198
%\bibitem {greiner03} Andriahatenenaina, A., Greiner, A.:
199
%  Micro-network for SoC: Implementation of 32-port SPIN Network. In
200
%  proc. DATE (2003) 11128-11129
201
@InProceedings{andriahantenaina03_n,
202
  author =       {Adrijean Andriahantenaina and Alain Greiner},
203
  title =        {Micro-Network for {SoC}: Implementation of a 32-Port {SPIN} network},
204
  booktitle =    {DATE},
205
  OPTcrossref =  {},
206
  OPTkey =       {},
207
  pages =        {1128--1129},
208
  year =         {2003},
209
  OPTeditor =    {},
210
  OPTvolume =    {},
211
  OPTnumber =    {},
212
  OPTseries =    {},
213
  OPTaddress =   {Munich, Germany},
214
  month =        {Mar.},
215
  OPTorganization = {},
216
  OPTpublisher = {},
217
  OPTnote =      {},
218
  OPTannote =    {}
219
}
220
 
221
 
222
 
223
%Ahonen, T.; Nurmi, J.;
224
%Integration of a NOC-based multimedia processing platform
225
%Field Programmable Logic and Applications, 2005. International Conference on
226
%24-26 Aug. 2005 Page(s):606 - 611
227
@InProceedings{ahonen06,
228
  author =       {T. Ahonen and J. Nurmi},
229
  title =        {Integration of a {NOC-based} multimedia processing platform},
230
  booktitle =    {FPL},
231
  OPTcrossref =  {},
232
  OPTkey =       {},
233
  pages =        {606--611},
234
  year =         {2005},
235
  OPTeditor =    {},
236
  OPTvolume =    {},
237
  OPTnumber =    {},
238
  OPTseries =    {},
239
  OPTaddress =   {Tampere, Finland},
240
  month =        {Aug.},
241
  OPTorganization = {},
242
  OPTpublisher = {},
243
  OPTnote =      {},
244
  OPTannote =    {}
245
}
246
 
247
 
248
%On Characterizing Performance of the Cell Broadband Engine Element Interconnect Bus
249
%Ainsworth, T.W.; Pinkston, T.M., NOCS, May 2007,
250
%Page(s): 18-29
251
@InProceedings{ainsworth07,
252
  author =       {T.W. Ainsworth and T.M. Pinkston},
253
  title =        {On Characterizing Performance of the {Cell Broadband Engine Element Interconnect Bus}},
254
  booktitle =    {NOCS},
255
  OPTcrossref =  {},
256
  OPTkey =       {},
257
  pages =        {18--29},
258
  year =         {2007},
259
  OPTeditor =    {},
260
  OPTvolume =    {},
261
  OPTnumber =    {},
262
  OPTseries =    {},
263
  OPTaddress =   {},
264
  month =        {May},
265
  OPTorganization = {},
266
  OPTpublisher = {},
267
  OPTnote =      {},
268
  OPTannote =    {}
269
}
270
 
271
 
272
%On the credibility of manet simulations
273
%Andel, T.R.; Yasinsac, A.;
274
%Computer
275
%Volume 39,  Issue 7,  July 2006 Page(s):48 - 54
276
@Article{andel06,
277
  author =       {T.R. Andel and A. Yasinsac},
278
  title =        {On the credibility of manet simulations},
279
  journal =      {IEEE Computer},
280
  year =         {2006},
281
  OPTkey =       {},
282
  volume =       {39},
283
  number =       {7},
284
  pages =        {48--54},
285
  month =        {Jul.},
286
  OPTnote =      {},
287
  OPTannote =    {}
288
}
289
 
290
%\bibitem {amba99} ARM Limited: AMBA Specification Rev 2.0. (1999)
291
@Manual{amba99,
292
  title =        {AMBA Specification},
293
  OPTkey =       {},
294
  OPTauthor =    {},
295
  organization = {{Arm Limited}},
296
  OPTaddress =   {},
297
  edition =      {Rev. 2.0.},
298
  OPTmonth =     {},
299
  year =         {1999},
300
  OPTnote =      {ARM IHI 0011A},
301
  OPTannote =    {}
302
}
303
 
304
 
305
 
306
%F. Angiolini, P. Meloni, D. Bertozzi, L. Benini, S. Carta, L. Raffo,
307
%Networks on Chips: A Synthesis Perspective,
308
%Proceedings of the Parallel Computing (ParCo) Conference 2005, Málaga, Spain, Sep 13-16, 2005 (
309
@InProceedings{angiolini05,
310
  author =       {F. Angiolini and P. Meloni and D. Bertozzi and L. Benini and S. Carta and L. Raffo},
311
  title =        {Networks on Chips: A Synthesis Perspective},
312
  booktitle =    {ParCo},
313
  OPTcrossref =  {},
314
  OPTkey =       {},
315
  OPTpages =     {},
316
  year =         {2005},
317
  OPTeditor =    {},
318
  OPTvolume =    {},
319
  OPTnumber =    {},
320
  OPTseries =    {},
321
  OPTaddress =   {Malaga, Spain},
322
  month =        {Sep.},
323
  OPTorganization = {},
324
  OPTpublisher = {},
325
  OPTnote =      {},
326
  OPTannote =    {}
327
}
328
 
329
%F. Angiolini, P. Meloni, S. Carta, L. Benini, L.   Raffo,
330
%Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness,
331
%Design, Automation and Test in Europe (DATE), 06-10 March 2006, Vol. 1, pp. 1- 6.
332
@InProceedings{angiolini06,
333
  author =       {F. Angiolini and P. Meloni and S. Carta and L. Benini and L. Raffo},
334
  title =        {Contrasting a {NoC} and a Traditional Interconnect Fabric with Layout Awareness},
335
  booktitle =    {DATE},
336
  OPTcrossref =  {},
337
  OPTkey =       {},
338
  pages =        {1--6},
339
  year =         {2006},
340
  OPTeditor =    {},
341
  OPTvolume =    {},
342
  OPTnumber =    {},
343
  OPTseries =    {},
344
  OPTaddress =   {},
345
  month =        {Mar.},
346
  OPTorganization = {},
347
  OPTpublisher = {},
348
  OPTnote =      {},
349
  OPTannote =    {}
350
}
351
 
352
 
353
%\bibitem {angiolini07} Angiolini, F., Meloni, P., Carta, S.M., Raffo, L., Benini, L.:
354
% A Layout-Aware Analysis of Networks-on-Chip and Traditional Interconnects for MPSoCs,
355
% IEEE Trans.  Computer-Aided
356
%  Design of Integrated Circuits and Systems, March 2007, 26, (3), pp.
357
%  421-434
358
 
359
@Article{angiolini07,
360
  author =       {F. Angiolini and P. Meloni and S.M. Carta  and L.  Raffo and L. Benini},
361
  title =        {A Layout-Aware Analysis of Networks-on-Chip and Traditional Interconnects for {MPSoCs}},
362
  journal =      t-cadics,
363
  year =         {2007},
364
  OPTkey =       {},
365
  volume =       {26},
366
  number =       {3},
367
  pages =        {421--434},
368
  month =        {Mar.},
369
  OPTnote =      {},
370
  OPTannote =    {}
371
}
372
 
373
%
374
@Manual{axi03,
375
  title =        {AMBA AXI Protocol Sepcification},
376
  OPTkey =       {},
377
  OPTauthor =    {},
378
  organization = {{Arm Limited}},
379
  OPTaddress =   {},
380
  edition =      {Revision r0p0},
381
  OPTmonth =     {},
382
  year =         {2003},
383
  OPTnote =      {ARM IHI 0022A},
384
  OPTannote =    {}
385
}
386
 
387
 
388
 
389
 
390
 
391
 
392
@InProceedings{amdahl67,
393
  author =       {Gene M. Amdahl},
394
  title =        {Validity of single processor approach to achieving large scale computing capcabilities},
395
  booktitle =    {AFIPS Spring Joint Computer Conference},
396
  OPTcrossref =  {},
397
  OPTkey =       {},
398
  pages =        {483--485},
399
  year =         {1967},
400
  OPTeditor =    {},
401
  OPTvolume =    {},
402
  OPTnumber =    {},
403
  OPTseries =    {},
404
  OPTaddress =   {},
405
  OPTmonth =     {},
406
  OPTorganization = {},
407
  publisher = {Thompson Books},
408
  OPTnote =      {},
409
  OPTannote =    {}
410
}
411
 
412
%\bibitem {arm03} ARM Limited: ARM7 Thumb Family Flyer. (2003)
413
@Manual{arm03,
414
  title =        {ARM7 Thumb Family Flyer},
415
  OPTkey =       {},
416
  OPTauthor =    {},
417
  organization = {{Arm Limited}},
418
  OPTaddress =   {},
419
  OPTedition =   {},
420
  OPTmonth =     {},
421
  year =         {2003},
422
  OPTnote =      {},
423
  OPTannote =    {}
424
}
425
 
426
 
427
% Tero Arpinen, Petri Kukkala, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen,
428
%Configurable Multiprocessor Platform with RTOS for Distributed Execution of UML 2.0 Designed Applications,
429
%9th Design, Automation and Test in Europe Conference (DATE 2006),
430
%Munich, Germany, March 6-10, 2006, pp. 1324-1329.
431
@InProceedings{arpinen06,
432
  author =       {Tero Arpinen and Petri Kukkala and Erno Salminen and M. H\"annik\"ainen and T. H\"am\"al\"ainen},
433
  title =        {Configurable Multiprocessor Platform with {RTOS} for Distributed Execution of {UML 2.0} Designed Applications},
434
  booktitle =    {DATE},
435
  OPTcrossref =  {},
436
  OPTkey =       {},
437
  pages =        {1324--1329},
438
  year =         {2006},
439
  OPTeditor =    {},
440
  OPTvolume =    {},
441
  OPTnumber =    {},
442
  OPTseries =    {},
443
  OPTaddress =   {},
444
  month =        {Mar.},
445
  OPTorganization = {},
446
  OPTpublisher = {},
447
  OPTnote =      {},
448
  OPTannote =    {}
449
}
450
 
451
@Misc{arteris05,
452
  OPTkey =       {},
453
  author =       {{Arteris S.A.}},
454
  title =        {A comparison of Network-on-Chip and Busses},
455
  howpublished = {white paper},
456
  OPTmonth =     {},
457
  year =         {2005},
458
  OPTnote =      {},
459
  OPTannote =    {}
460
}
461
 
462
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
463
%%
464
%% BBB
465
%%
466
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
467
 
468
 
469
%A. Baghdadi, W.O. Cesario, A.A. Jerraya, N.-E. Zergainoh,
470
%Combining a performance estimation methodology with a hardware/software codesign flow supporting multiprocessor systems,
471
% IEEE TSE, Vol. 28, Iss. 9, Sep. 2002, pp. 822-831.
472
@Article{baghdadi02,
473
  author =       {A. Baghdadi and W.O. Cesario and A.A. Jerraya and N.-E. Zergainoh},
474
  title =        {Combining a performance estimation methodology with a hardware/software codesign flow supporting multiprocessor systems},
475
  journal =      {IEEE Trans. Software Engineering},
476
  year =         {2002},
477
  OPTkey =       {},
478
  volume =       {28},
479
  number =       {9},
480
  pages =        {822--831},
481
  month =        {Sep.},
482
  OPTnote =      {},
483
  OPTannote =    {}
484
}
485
 
486
 
487
 
488
@Article{bailey91,
489
  author =       {David H. Bailey},
490
  title =        {Twelve Ways to Fool the Masses When Giving Performance Results on Parallel Computers},
491
  journal =      {Supercomputing Review},
492
  year =         {1991},
493
  OPTkey =       {},
494
  volume =       {4},
495
  number =       {8},
496
  pages =        {54--57},
497
  month =        {Aug.},
498
  OPTnote =      {},
499
  OPTannote =    {}
500
}
501
 
502
%J. Balachandran, S. Brebels, G. Carchon, M. Kuijk, Walter De Raedt,  B.K.J.C. Nauwelaers, E. Beyne,
503
%Wafer-level package interconnect options,
504
%IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 14, Iss. 6,  June 2006, pp. 654 - 659.
505
@Article{balachandran06,
506
  author =       {J. Balachandran and S. Brebels and G. Carchon and M. Kuijk and Walter De Raedt and  B.K.J.C. Nauwelaers and E. Beyne},
507
  title =        {Wafer-level package interconnect options},
508
  journal =      t-vlsi,
509
  year =         {2006},
510
  OPTkey =       {},
511
  volume =       {14},
512
  number =       {6},
513
  pages =        {654--659},
514
  month =        {Jun.},
515
  OPTnote =      {},
516
  OPTannote =    {}
517
}
518
 
519
 
520
 
521
% A Power and Energy Exploration of Network-on-Chip Architectures
522
%Banerjee, A.; Mullins, R.; Moore, S.;
523
%Networks-on-Chip, 2007. NOCS 2007. First International Symposium on
524
%7-9 May 2007 Page(s):163 - 172
525
@InProceedings{banerjee07,
526
  author =       {A. Banerjee and R. Mullins and S. Moore},
527
  title =        {A Power and Energy Exploration of Network-on-Chip Architectures},
528
  booktitle =    {NOCS},
529
  OPTcrossref =  {},
530
  OPTkey =       {},
531
  pages =        {163--172},
532
  year =         {2007},
533
  OPTeditor =    {},
534
  OPTvolume =    {},
535
  OPTnumber =    {},
536
  OPTseries =    {},
537
  OPTaddress =   {},
538
  month =        {May},
539
  OPTorganization = {},
540
  OPTpublisher = {},
541
  OPTnote =      {},
542
  OPTannote =    {}
543
}
544
 
545
%Richard S. Barr , Bruce L. Golden , James P. Kelly , Mauricio G. C. Resende,  and William R. Stewart Jr.,
546
%Designing and reporting on computational experiments with heuristic methods
547
%Journal of Heuristics, Vol. 1, Num. 1, Sep. 1995, pp.  9-32.
548
@Article{barr95,
549
  author =       {Richard S. Barr and Bruce L. Golden and James P. Kelly and Mauricio G. C. Resende  and William R. {Stewart Jr.}},
550
  title =        {Designing and reporting on computational experiments with heuristic methods},
551
  journal =      {Journal of Heuristics},
552
  year =         {1995},
553
  OPTkey =       {},
554
  volume =       {1},
555
  number =       {1},
556
  pages =        {9--32},
557
  month =        {Sep.},
558
  OPTnote =      {},
559
  OPTannote =    {}
560
}
561
 
562
%Luiz André Barroso, Kourosh Gharachorloo, Robert McNamara, Andreas Nowatzyk, Shaz Qadeer, Barton Sano, Scott Smith, Robert Stets, Ben Verghese ,
563
%Piranha: a scalable architecture based on single-chip multiprocessing
564
%May 2000, ACM SIGARCH Computer Architecture News , Proceedings of the 27th annual international symposium on Computer architecture,  Volume 28 Issue 2.
565
@InProceedings{barroso00,
566
  author =       {Luiz André Barroso and Kourosh Gharachorloo and Robert McNamara and Andreas Nowatzyk and Shaz Qadeer and Barton Sano and Scott Smith and Robert Stets and Ben Verghese},
567
  title =        {Piranha: a scalable architecture based on single-chip multiprocessing },
568
  booktitle =    {ISCA},
569
  OPTcrossref =  {},
570
  OPTkey =       {},
571
  pages =        {282--293},
572
  year =         {2000},
573
  OPTeditor =    {},
574
  OPTvolume =    {},
575
  OPTnumber =    {},
576
  OPTseries =    {},
577
  OPTaddress =   {},
578
  OPTmonth =     {},
579
  OPTorganization = {},
580
  OPTpublisher = {},
581
  OPTnote =      {},
582
  OPTannote =    {}
583
}
584
 
585
@InProceedings{bartic03,
586
  author =       {T.A. Bartic and J-Y. Mignolet and V. Nollet and T. Marescaux and D. Verkest and S. Vernalde and R. Lauwereins},
587
  title =        {Highly Scalable Network on Chip for Reconfigurable Systems},
588
  booktitle =    tresoc,
589
  OPTcrossref =  {},
590
  OPTkey =       {},
591
  pages =        {78--82},
592
  year =         {2003},
593
  OPTeditor =    {},
594
  OPTvolume =    {},
595
  OPTnumber =    {},
596
  OPTseries =    {},
597
  OPTaddress =   {Tampere, Finland},
598
  month =        {Nov.},
599
  OPTorganization = {},
600
  OPTpublisher = {},
601
  OPTnote =      {},
602
  OPTannote =    {}
603
}
604
 
605
 
606
 
607
%Andrei Bartic, Dirk Desmet, Jean-Yves Mignolet, Théodore Marescaux, Diederik Verkest, Serge Vernalde, Rudy Lauwereins, J. Miller, Frédéric Robert:
608
%Network-on-Chip for Reconfigurable Systems: From High-Level Design Down to Implementation.
609
%FPL 2004: 637-647
610
@InProceedings{bartic04,
611
  author =       {T.A. Bartic and Dirk Desmet and Jean-Yves Mignolet and Théodore Marescaux and Diederik Verkest and Serge Vernalde and Rudy Lauwereins and J. Miller and Frédéric Robert},
612
  title =        {Network-on-Chip for Reconfigurable Systems: From High-Level Design Down to Implementation},
613
  booktitle =    {FPL},
614
  OPTcrossref =  {},
615
  OPTkey =       {},
616
  pages =        {637--647},
617
  year =         {2004},
618
  OPTeditor =    {},
619
  OPTvolume =    {},
620
  OPTnumber =    {},
621
  OPTseries =    {},
622
  OPTaddress =   {},
623
  OPTmonth =     {},
624
  OPTorganization = {},
625
  OPTpublisher = {},
626
  OPTnote =      {},
627
  OPTannote =    {}
628
}
629
 
630
%Topology adaptive network-on-chip design and implementation
631
%Bartic, T.A.; Mignolet, J.-Y.; Nollet, V.; Marescaux, T.; Verkest, D.; Vernalde, S.; Lauwereins, R.
632
%IEE Proc.-Comput. Digit. Tech., Vol. 152, No. 4, July 2005
633
%Page(s):  467- 472
634
@Article{bartic05,
635
  author =       {T.A. Bartic and J.-Y. Mignolet and V. Nollet and T. Marescaux and D. Verkest and S. Vernalde and R. Lauwereins},
636
  title =        {Topology adaptive network-on-chip design and implementation},
637
  journal =      {IEE Proc. Comput. Digit. Tech.},
638
  year =         {2005},
639
  OPTkey =       {},
640
  volume =       {152},
641
  number =       {4},
642
  pages =        {467--472},
643
  month =        {Jul.},
644
  OPTnote =      {},
645
  OPTannote =    {}
646
}
647
 
648
 
649
 
650
%E. Beigne, F. Clermidy, P. Vivet, A. Clouard, M. Renaudin,
651
%An Asynchronous NOC Architecture Providing Low Latency Service and Its Multi-Level Design Framework,
652
%Proceedings of 11th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC),
653
%14-16 March 2005, pp. 54 - 63.
654
@InProceedings{beigne05,
655
  author =       {E. Beigne and F. Clermidy and P. Vivet and A. Clouard and M. Renaudin},
656
  title =        {An Asynchronous {NOC} Architecture Providing Low Latency Service and Its Multi-Level Design Framework},
657
  booktitle =    {ASYNC},
658
  OPTcrossref =  {},
659
  OPTkey =       {},
660
  pages =        {54--63},
661
  year =         {2005},
662
  OPTeditor =    {},
663
  OPTvolume =    {},
664
  OPTnumber =    {},
665
  OPTseries =    {},
666
  OPTaddress =   {},
667
  month =        {Mar.},
668
  OPTorganization = {},
669
  OPTpublisher = {},
670
  OPTnote =      {},
671
  OPTannote =    {}
672
}
673
 
674
@book{benini98,
675
 author = {Luca Benini and Giovanni de Micheli},
676
 title = {Dynamic Power Management: Design Techniques and CAD Tools},
677
 year = {1998},
678
 OPTisbn = {079238086X},
679
 publisher = kap,
680
 address = {Norwell, MA, USA},
681
 }
682
 
683
%Luca Benini, Alessandro Bogliolo, and Giovanni De Micheli,
684
%A Survey of Design Techniques for System-Level Dynamic Power Management
685
%IEEE Trans. VLSI Syst, Vol. 8, No. 3, June 2000 299
686
 
687
@Article{benini00,
688
  author =       {Luca Benini and Alessandro Bogliolo and Giovanni de Micheli},
689
  title =        {A Survey of Design Techniques for System-Level Dynamic Power Management},
690
  journal =      t-vlsi,
691
  year =         {200},
692
  OPTkey =       {},
693
  volume =       {8},
694
  number =       {3},
695
  pages =        {299--316},
696
  month =        {Jun.},
697
  OPTnote =      {},
698
  OPTannote =    {}
699
}
700
 
701
 
702
 
703
%\bibitem {benini02} Benini, L., de Micheli, G.: Networks on chips: A
704
%  New SoC Paradigm. Computer, vol. 35, issue 1 (2002) 70-78
705
@Article{benini02,
706
  author =       {Luca Benini and Giovanni de Micheli},
707
  title =        {Networks on chips: A new {SoC} Paradigm},
708
  journal =      {IEEE Computer},
709
  year =         {2002},
710
  OPTkey =       {},
711
  volume =       {35},
712
  number =       {1},
713
  pages =        {70--78},
714
  month =        {Jan.},
715
  OPTnote =      {},
716
  OPTannote =    {}
717
}
718
 
719
 
720
 
721
%L. Benini et al.,
722
%SystemC cosimulation and emulation of multiprocessor SoC designs,
723
%Computer, Vol. 36, Iss. 4, Apr. 2003, pp. 53-59.
724
@Article{benini03,
725
  author =       {L. Benini and D. Bertozzi and D. Bruni and N. Drago and F. Fummi and M. Poncino},
726
  title =        {SystemC cosimulation and emulation of multiprocessor {SoC} designs},
727
  journal =      {IEEE Computer},
728
  year =         {2003},
729
  OPTkey =       {},
730
  volume =       {36},
731
  number =       {4},
732
  pages =        {53--59},
733
  month =        {Apr.},
734
  OPTnote =      {},
735
  OPTannote =    {}
736
}
737
 
738
 
739
 
740
 
741
@Book{benini06,
742
  author =       {Luca Benini and Giovanni de Micheli},
743
  ALTeditor =    {},
744
  title =        {Networks on chips: technology and tools},
745
  publisher =    {Morgan Kaufmann},
746
  year =         {2006},
747
  OPTkey =       {},
748
  OPTvolume =    {},
749
  OPTnumber =    {},
750
  OPTseries =    {},
751
  OPTaddress =   {},
752
  OPTedition =   {},
753
  OPTmonth =     {},
754
  OPTnote =      {},
755
  OPTannote =    {}
756
}
757
 
758
@InProceedings{bertozzi02,
759
  author =       {Davide Bertozzi and Luca Benini and Giovanni de Micheli},
760
  title =        {Low power error resilient coding for on-chip data buses},
761
  booktitle =    {DATE},
762
  OPTcrossref =  {},
763
  OPTkey =       {},
764
  pages =        {},
765
  year =         {2002},
766
  OPTeditor =    {},
767
  OPTvolume =    {},
768
  OPTnumber =    {},
769
  OPTseries =    {},
770
  OPTaddress =   {},
771
  OPTmonth =     {},
772
  OPTorganization = {},
773
  OPTpublisher = {},
774
  OPTnote =      {},
775
  OPTannote =    {}
776
}
777
 
778
%D. Bertozzi, A. Jalabert, Srinivasan Murali, R. Tamhankar, S. Stergiou, L. Benini, G. De Micheli,
779
%NoC synthesis flow for customized domain specific multiprocessor systems-on-chip,
780
%IEEE Transactions on Parallel and Distributed Systems,
781
%Vol. 16,  Iss. 2,  Feb. 2005, pp. 113 - 129.
782
@Article{bertozzi05,
783
  author =       {D. Bertozzi and A. Jalabert and Srinivasan Murali and R. Tamhankar and S. Stergiou and L. Benini and Giovanni de Micheli},
784
  title =        {NoC synthesis flow for customized domain specific multiprocessor systems-on-chip},
785
  journal =      t-pds,
786
  year =         {2005},
787
  OPTkey =       {},
788
  volume =       {16},
789
  number =       {2},
790
  pages =        {113--129},
791
  month =        {Feb.},
792
  OPTnote =      {},
793
  OPTannote =    {}
794
}
795
 
796
 
797
%zi, L. Benini, G. De Micheli, ,
798
%Error control schemes for on-chip communication links: the energy-reliability tradeoff,
799
%IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 24,  Iss. 6,  June 2005, pp. 818 - 831
800
@Article{bertozzi05b,
801
  author =       {D. Bertozzi and  L. Benini and Giovanni de Micheli},
802
  title =        {Error control schemes for on-chip communication links: the energy-reliability tradeoff},
803
  journal =      t-cadics,
804
  year =         {2005},
805
  OPTkey =       {},
806
  volume =       {24},
807
  number =       {6},
808
  pages =        {818--831},
809
  month =        {Jun.},
810
  OPTnote =      {},
811
  OPTannote =    {}
812
}
813
 
814
@InProceedings{bessonov03,
815
  author =       {O. Bessonov and D.  Fougere and B. Roux},
816
  title =        {Using a parallel {CFD} code for evaluation of clusters and {MPPs}},
817
  booktitle =    {IPDPS},
818
  OPTcrossref =  {},
819
  OPTkey =       {},
820
  OPTpages =     {},
821
  year =         {2003},
822
  OPTeditor =    {},
823
  OPTvolume =    {},
824
  OPTnumber =    {},
825
  OPTseries =    {},
826
  OPTaddress =   {},
827
  month =        {Apr.},
828
  OPTorganization = {},
829
  OPTpublisher = {},
830
  OPTnote =      {},
831
  OPTannote =    {}
832
}
833
 
834
%Praveen Bhojwani and Rabi Mahapatra,
835
%Interfacing Cores with On-chip Packet-Switched Networks
836
%VLSI Design, New Delhi,  4-8 Jan. 2003, pp. 382 - 387
837
@InProceedings{bhojwani03,
838
  author =       {Praveen Bhojwani and Rabi Mahapatra},
839
  title =        {Interfacing Cores with On-chip Packet-Switched Networks},
840
  booktitle =    {VLSI design},
841
  OPTcrossref =  {},
842
  OPTkey =       {},
843
  pages =        {382--387},
844
  year =         {2003},
845
  OPTeditor =    {},
846
  OPTvolume =    {},
847
  OPTnumber =    {},
848
  OPTseries =    {},
849
  OPTaddress =   {},
850
  month =        {Jan.},
851
  OPTorganization = {},
852
  OPTpublisher = {},
853
  OPTnote =      {},
854
  OPTannote =    {}
855
}
856
 
857
% T. Bjerregaard and J. Sparsø,
858
%A Router Architecture for Connection-Oriented Service Guarantees in the MANGO Clockless Network-on-Chip,
859
%Design, Automation and Test in Europe (DATE),
860
%7-11 March 2005,  Vol.2 , pp. 1226-1231.
861
@InProceedings{bjerregaard05,
862
  author =       {T. Bjerregaard and J. Sparsoe},
863
  title =        {A Router Architecture for Connection-Oriented Service Guarantees in the {MANGO} Clockless Network-on-Chip},
864
  booktitle =    {DATE},
865
  OPTcrossref =  {},
866
  OPTkey =       {},
867
  pages =        {1226--1231},
868
  year =         {2005},
869
  OPTeditor =    {},
870
  volume =       {2},
871
  OPTnumber =    {},
872
  OPTseries =    {},
873
  OPTaddress =   {},
874
  month =        {Mar.},
875
  OPTorganization = {},
876
  OPTpublisher = {},
877
  OPTnote =      {},
878
  OPTannote =    {}
879
}
880
 
881
@Article{bjerregaard06,
882
  author =       {Tobias Bjerregaard and Shankar Mahadevan},
883
  title =        {A Survey of Research and Practices of Network-on-Chip},
884
  journal =      {ACM Computing Surveys},
885
  year =         {2006},
886
  OPTkey =       {},
887
  volume =       {38},
888
  number =       {1},
889
  pages =        {article No. 1},
890
  OPTmonth =     {},
891
  OPTnote =      {},
892
  OPTannote =    {}
893
}
894
 
895
 
896
@INPROCEEDINGS{bobrek04,
897
  author = {A. Bobrek and others},
898
  title = {Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach},
899
  booktitle = "DATE",
900
  month = "Feb.",
901
  year = "2004",
902
  pages = "1144--1149",
903
  volume = "2"
904
}
905
 
906
 
907
 
908
%E. Bolotin, I. Cidon, R. Ginosar, A. Kolodny,
909
%Cost considerations in network on chip,
910
%Integration, the VLSI Journal,
911
%Vol. 38, Iss. 1, Oct. 2004, pp. 19-42.
912
@Article{bolotin04a,
913
  author =       {E. Bolotin and I. Cidon and R. Ginosar and A. Kolodny },
914
  title =        {Cost considerations in network on chip},
915
  journal =      {Integration, the VLSI Journal},
916
  year =         {2004},
917
  OPTkey =       {},
918
  volume =       {38},
919
  number =       {1},
920
  pages =        {19--42},
921
  month =        {Oct.},
922
  OPTnote =      {},
923
  OPTannote =    {}
924
}
925
 
926
@Article{bolotin04b,
927
  author =       {Evgeny Bolotin and Israel Gidon and Ran Ginosar and Avinoam Kolodny},
928
  title =        {{QNoC}: {QoS} architecture and design process for network on chip},
929
  journal =      {Journal of Systems Architecture},
930
  year =         {2004},
931
  OPTkey =       {},
932
  volume =       {50},
933
  number =       {2--3},
934
  pages =        {105--128},
935
  month =        {Feb.},
936
  OPTnote =      {},
937
  OPTannote =    {}
938
}
939
 
940
 
941
 
942
 
943
 
944
 
945
@Misc{borkar05,
946
  key = {0305/VET/HBD/PDF},
947
  author = {Shekhar Y. Borkar and Pradeep Dubey  and Kevin C. Kahn and David J. Kuck and Hans Mulder and Stephen S. Pawlowski and Justin R. Rattner},
948
  title =        {Platform 2015: Intel Processor and Platform Evolution for the Next Decade},
949
  howpublished = {Intel Corporation, white paper},
950
  month =        {},
951
  year =         {2005},
952
  OPTnote =      {},
953
  OPTannote =    {}
954
}
955
 
956
 
957
 
958
 
959
%A. Bouchhima, I. Bacivarow, W. Youssef, M. Bonaciu, A.A. Jerraya,
960
% Using abstract CPU subsystem simulation model for high level HW/SW architecture exploration,
961
% ASP-DAC, Shanghai, China, Jan. 2005, pp. 969 - 972.
962
@InProceedings{bouchima05,
963
  author =       {A. Bouchhima and I. Bacivarow and W. Youssef and M. Bonaciu and A.A. Jerraya},
964
  title =        {Using abstract {CPU} subsystem simulation model for high level {HW/SW} architecture exploration},
965
  booktitle =    {ASP-DAC},
966
  OPTcrossref =  {},
967
  OPTkey =       {},
968
  pages =        {969--972},
969
  year =         {2005},
970
  OPTeditor =    {},
971
  OPTvolume =    {},
972
  OPTnumber =    {},
973
  OPTseries =    {},
974
  OPTaddress =   {Shanghai, China},
975
  month =        {Jan.},
976
  OPTorganization = {},
977
  OPTpublisher = {},
978
  OPTnote =      {},
979
  OPTannote =    {}
980
}
981
 
982
 
983
%A. Bouhraoua and M. E. Elrabaa
984
%A High-Throughput Network-on-Chip Architecture for Systems-on-Chip Interconnect
985
%Intl. Symposium on System-on-Chip
986
%Tampere, Finland, Nov. 2006, pp. 127-130.
987
@InProceedings{bouhraoua06,
988
  author =       {A. Bouhraoua and M. E. Elrabaa},
989
  title =        {A High-Throughput Network-on-Chip Architecture for Systems-on-Chip Interconnect},
990
  booktitle =    tresoc,
991
  OPTcrossref =  {},
992
  OPTkey =       {},
993
  pages =        {127--130},
994
  year =         {2006},
995
  OPTeditor =    {},
996
  OPTvolume =    {},
997
  OPTnumber =    {},
998
  OPTseries =    {},
999
  OPTaddress =   {Tampere, Finland},
1000
  month =        {Nov.},
1001
  OPTorganization = {},
1002
  OPTpublisher = {},
1003
  OPTnote =      {},
1004
  OPTannote =    {}
1005
}
1006
 
1007
 
1008
%Bryg, W. R., Chan, K. K., Fiduccia, N. S.:
1009
%A high-performance, low-cost multiprocessor bus for workstations and midrange servers.
1010
%Hewlett-Packard Journal, Feb. 1996.
1011
@Article{bryg96,
1012
  author =       {W. R. Bryg and  K. K. Chan and N. S. Fiduccia},
1013
  title =        {A high-performance, low-cost multiprocessor bus for workstations and midrange servers},
1014
  journal =      {Hewlett-Packard Journal},
1015
  year =         {1996},
1016
  OPTkey =       {},
1017
  volume =       {47},
1018
  number =       {1},
1019
  OPTpages =     {},
1020
  month =        {Feb.},
1021
  OPTnote =      {},
1022
  OPTannote =    {}
1023
}
1024
 
1025
 
1026
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
1027
%%
1028
%% CCC
1029
%%
1030
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
1031
 
1032
 
1033
% Y. Cao, T. Sato, M. Orshansky, D. Sylvester, C. Hu,
1034
%New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation,
1035
%Custom Integrated Circuits Conference (CICC),
1036
%21-24 May 2000, pp. 201 - 204.
1037
@InProceedings{cao00,
1038
  author =       {Yu Cao and Takashi Sato and Michael Orshansky and Dennis Sylvester and Chenming Hu},
1039
  title =        {New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation},
1040
  booktitle =    {Custom Integrated Circuits Conference (CICC)},
1041
  OPTcrossref =  {},
1042
  OPTkey =       {},
1043
  pages =        {201--204},
1044
  year =         {2000},
1045
  OPTeditor =    {},
1046
  OPTvolume =    {},
1047
  OPTnumber =    {},
1048
  OPTseries =    {},
1049
  address =      {Orlando, FL, USA},
1050
  month =        {May},
1051
  OPTorganization = {},
1052
  OPTpublisher = {},
1053
  OPTnote =      {},
1054
  OPTannote =    {}
1055
}
1056
 
1057
%Cardoso, R.S.; Kreutz, M.E.; Carro, L.; Susin, A.A.;
1058
%Design space exploration on heterogeneous network-on-chip
1059
%Circuits and Systems, 2005. ISCAS 2005. IEEE International Symposium on
1060
%23-26 May 2005 Page(s):428 - 431 Vol. 1
1061
%Digital Object Identifier 10.1109/ISCAS.2005.1464616
1062
@InProceedings{cardoso05,
1063
  author =       {R.S. Cardoso and M.E. Kreutz and L. Carro and A.A. Susin},
1064
  title =        {Design space exploration on heterogeneous network-on-chip},
1065
  booktitle =    {ISCAS},
1066
  OPTcrossref =  {},
1067
  OPTkey =       {},
1068
  pages =        {428--431},
1069
  year =         {2005},
1070
  OPTeditor =    {},
1071
  OPTvolume =    {1},
1072
  OPTnumber =    {},
1073
  OPTseries =    {},
1074
  OPTaddress =   {},
1075
  month =        {May},
1076
  OPTorganization = {},
1077
  OPTpublisher = {},
1078
  OPTnote =      {},
1079
  OPTannote =    {}
1080
}
1081
 
1082
%Carloni, L.P.; Sangiovanni-Vincentelli, A.L.;
1083
%Coping with latency in SOC design
1084
%Micro, IEEE , Volume: 22 , Issue: 5 , Sept.-Oct. 2002
1085
%Pages:24 - 35
1086
@Article{carloni02,
1087
  author =       {L.P. Carloni and  A.L. Sangiovanni-Vincentelli},
1088
  title =        {Coping with latency in {SOC} design},
1089
  journal =      {IEEE Micro},
1090
  year =         {2002},
1091
  OPTkey =       {},
1092
  volume =       {22},
1093
  number =       {5},
1094
  pages =        {24--35},
1095
  month =        {Sep.-Oct.},
1096
  OPTnote =      {},
1097
  OPTannote =    {}
1098
}
1099
 
1100
@InProceedings{castellsrufas06,
1101
  author =       {David Castells-Rufas and Jaume Joven and Jordi Carrabina},
1102
  title =        {A Validation and Performance Evaluation Tool for {ProtoNoc}},
1103
  booktitle =    tresoc,
1104
  OPTcrossref =  {},
1105
  OPTkey =       {},
1106
  pages =        {159--162},
1107
  year =         {2006},
1108
  OPTeditor =    {},
1109
  OPTvolume =    {},
1110
  OPTnumber =    {},
1111
  OPTseries =    {},
1112
  OPTaddress =   {Tampere, Finland},
1113
  month =        {Nov.},
1114
  OPTorganization = {},
1115
  OPTpublisher = {},
1116
  OPTnote =      {},
1117
  OPTannote =    {}
1118
}
1119
 
1120
 
1121
 
1122
%J. Chan, S. Parameswaran,
1123
%NoCGEN:a template based reuse methodology for Networks On Chip architecture,
1124
%In Proc. 17th International Conference on VLSI Design, 5-9 Jan. 2004, pp. 717 - 720.
1125
@InProceedings{chan04,
1126
  author =       {J. Chan and S. Parameswaran},
1127
  title =        {NoCGEN:a template based reuse methodology for Networks On Chip architecture},
1128
  booktitle =    {VLSI design},
1129
  OPTcrossref =  {},
1130
  OPTkey =       {},
1131
  pages =        {717--720},
1132
  year =         {2004},
1133
  OPTeditor =    {},
1134
  OPTvolume =    {},
1135
  OPTnumber =    {},
1136
  OPTseries =    {},
1137
  OPTaddress =   {},
1138
  month =        {Jan.},
1139
  OPTorganization = {},
1140
  OPTpublisher = {},
1141
  OPTnote =      {},
1142
  OPTannote =    {}
1143
}
1144
 
1145
 
1146
%Chan, J.; Parameswaran, S.;
1147
%NoCEE: energy macro-model extraction methodology for network on chip routers
1148
%Computer-Aided Design, 2005. ICCAD-2005. IEEE/ACM International Conference on
1149
%6-10 Nov. 2005 Page(s):254 - 259
1150
@InProceedings{chan05,
1151
  author =       {J. Chan and S. Parameswaran},
1152
  title =        {{NoCEE:} energy macro-model extraction methodology for network on chip routers},
1153
  booktitle =    {ICCAD},
1154
  OPTcrossref =  {},
1155
  OPTkey =       {},
1156
  pages =        {254--259},
1157
  year =         {2005},
1158
  OPTeditor =    {},
1159
  OPTvolume =    {},
1160
  OPTnumber =    {},
1161
  OPTseries =    {},
1162
  OPTaddress =   {},
1163
  month =        {Nov.},
1164
  OPTorganization = {},
1165
  OPTpublisher = {},
1166
  OPTnote =      {},
1167
  OPTannote =    {}
1168
}
1169
 
1170
@Book{chang99,
1171
  author =       {Henry Chang and Larry Cooke and Merrill Hunt and Grant Martin and Andrew McNelly and Lee Todd},
1172
  ALTeditor =    {},
1173
  title =        {Surviving the SoC Revolution},
1174
  publisher =    kap,
1175
  year =         {1999},
1176
  OPTkey =       {},
1177
  OPTvolume =    {},
1178
  OPTnumber =    {},
1179
  OPTseries =    {},
1180
  OPTaddress =   {Norwell, MA},
1181
  OPTedition =   {},
1182
  OPTmonth =     {},
1183
  OPTnote =      {},
1184
  OPTannote =    {}
1185
}
1186
 
1187
 
1188
 
1189
%Kuei-Chung Chang, Jih-Sheng Shen, Tien-Fu Chen,
1190
%Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs,
1191
%DAC, July 24 - 28, 2006,  pp. 143 - 148.
1192
@InProceedings{chang06,
1193
  author =       {Kuei-Chung Chang and Jih-Sheng Shen and Tien-Fu Chen},
1194
  title =        {Evaluation and design trade-offs between circuit-switched and packet-switched {NOCs} for application-specific {SOCs}},
1195
  booktitle =    {DAC},
1196
  OPTcrossref =  {},
1197
  OPTkey =       {},
1198
  pages =        {143--148},
1199
  year =         {2006},
1200
  OPTeditor =    {},
1201
  OPTvolume =    {},
1202
  OPTnumber =    {},
1203
  OPTseries =    {},
1204
  OPTaddress =   {},
1205
  month =        {Jul.},
1206
  OPTorganization = {},
1207
  OPTpublisher = {},
1208
  OPTnote =      {},
1209
  OPTannote =    {}
1210
}
1211
 
1212
 
1213
%A Systemc Test Environment For Spin Network
1214
%Charlery, H.; Greiner, A.;
1215
%Mixed Design of Integrated Circuits and System, 2006. MIXDES 2006. Proceedings of the International Conference
1216
%22-24 June 2006 Page(s):449 - 453
1217
@InProceedings{charlery06,
1218
  author =       {H. Charlery  and A. Greiner},
1219
  title =        {A {SystemC} Test Environment For {SPIN} Network},
1220
  booktitle =    {MIXDES},
1221
  OPTcrossref =  {},
1222
  OPTkey =       {},
1223
  pages =        {449--453},
1224
  year =         {2006},
1225
  OPTeditor =    {},
1226
  OPTvolume =    {},
1227
  OPTnumber =    {},
1228
  OPTseries =    {},
1229
  OPTaddress =   {Gdynia, Poland},
1230
  month =        {Jun.},
1231
  OPTorganization = {},
1232
  OPTpublisher = {},
1233
  OPTnote =      {},
1234
  OPTannote =    {}
1235
}
1236
 
1237
%Hsin-Chou Chi, Jia-Hung Chen,
1238
%Design and implementation of a routing switch for on-chip interconnection networks,
1239
%Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits,
1240
%4-5 Aug. 2004, pp. 392 - 395.
1241
@InProceedings{chi04,
1242
  author =       {Hsin-Chou Chi and Jia-Hung Chen},
1243
  title =        {Design and implementation of a routing switch for on-chip interconnection networks},
1244
  booktitle =    {AP-ASIC},
1245
  OPTcrossref =  {},
1246
  OPTkey =       {},
1247
  pages =        {392--395},
1248
  year =         {2004},
1249
  OPTeditor =    {},
1250
  OPTvolume =    {},
1251
  OPTnumber =    {},
1252
  OPTseries =    {},
1253
  OPTaddress =   {},
1254
  month =        {Aug.},
1255
  OPTorganization = {},
1256
  OPTpublisher = {},
1257
  OPTnote =      {},
1258
  OPTannote =    {}
1259
}
1260
 
1261
%D. Ching, P. Schaumont, I. Verbauwhede,
1262
%Integrated modeling and generation of a reconfigurable network-on-chip,
1263
%In Proc. 18th International Parallel and Distributed Processing Symposium (IPDPS),
1264
%April 26-30, 2004, pp. 139 - 145.
1265
@InProceedings{ching04,
1266
  author =       {D. Ching and P. Schaumont and I. Verbauwhede},
1267
  title =        {Integrated modeling and generation of a reconfigurable network-on-chip},
1268
  booktitle =    {IPDPS},
1269
  OPTcrossref =  {},
1270
  OPTkey =       {},
1271
  pages =        {139--145},
1272
  year =         {2004},
1273
  OPTeditor =    {},
1274
  OPTvolume =    {},
1275
  OPTnumber =    {},
1276
  OPTseries =    {},
1277
  OPTaddress =   {},
1278
  month =        {Apr.},
1279
  OPTorganization = {},
1280
  OPTpublisher = {},
1281
  OPTnote =      {},
1282
  OPTannote =    {}
1283
}
1284
 
1285
@Article{chiang92,
1286
  author =       {Men-Chow Chiang and Gurindar S. Sohi},
1287
  title =        {Evaluating design choices for shared bus multiprocessors in a throughput-oriented environment},
1288
  journal =      {IEEE Trans. Computers},
1289
  year =         {1992},
1290
  OPTkey =       {},
1291
  volume =       {41},
1292
  number =       {3},
1293
  pages =        {297--317},
1294
  month =        {Mar.},
1295
  OPTnote =      {},
1296
  OPTannote =    {}
1297
}
1298
 
1299
 
1300
 
1301
%Young-Sin Cho, Eun-Ju Choi, Kyoung-Rok Cho,
1302
%Modeling and analysis of the system bus latency on the SoC platform,
1303
%SLIP, Munich, Germany, 2006, pp. 67-74.
1304
@InProceedings{cho06,
1305
  author =       {Young-Sin Cho and Eun-Ju Choi and Kyoung-Rok Cho},
1306
  title =        {Modeling and analysis of the system bus latency on the {SoC} platform},
1307
  booktitle =    {SLIP},
1308
  OPTcrossref =  {},
1309
  OPTkey =       {},
1310
  pages =        {67--74},
1311
  year =         {2006},
1312
  OPTeditor =    {},
1313
  OPTvolume =    {},
1314
  OPTnumber =    {},
1315
  OPTseries =    {},
1316
  OPTaddress =   {Munich, Germany},
1317
  month =        {Mar.},
1318
  OPTorganization = {},
1319
  OPTpublisher = {},
1320
  OPTnote =      {},
1321
  OPTannote =    {}
1322
}
1323
 
1324
@Article{clark06,
1325
  author =       {Lindsay Clark},
1326
  title =        {Who are winners as focus changes on licence challenges?},
1327
  journal =      {Computer Weekly},
1328
  year =         {2006},
1329
  OPTkey =       {},
1330
  OPTvolume =    {},
1331
  OPTnumber =    {},
1332
  OPTpages =     {},
1333
  month =        {Jul.},
1334
  OPTnote =      {http://www.computerweekly.com/Articles/Article.aspx?liArticleID=217143},
1335
  OPTannote =    {}
1336
}
1337
 
1338
@Manual{crossbow02,
1339
  title =        {2D-Fabric 402c Parallel Processing Interface},
1340
  OPTkey =       {},
1341
  OPTauthor =    {},
1342
  organization = {Crossbow Technologies Inc.},
1343
  address =      {Sugar Land, TX, USA},
1344
  OPTedition =   {},
1345
  month =        {Jul.},
1346
  year =         {2002},
1347
  OPTnote =      {},
1348
  OPTannote =    {}
1349
}
1350
 
1351
 
1352
 
1353
 
1354
@Book{culler98,
1355
  author =       {David Culler and J.P. Singh and Anoop Gupta},
1356
  ALTeditor =    {},
1357
  title =        {Parallel Computer Architecture - A Hardware/Software Approach},
1358
  publisher =    {Morgan Kaufmann},
1359
  year =         {1998},
1360
  OPTkey =       {},
1361
  OPTvolume =    {},
1362
  OPTnumber =    {},
1363
  OPTseries =    {},
1364
  OPTaddress =   {},
1365
  OPTedition =   {},
1366
  OPTmonth =     {},
1367
  OPTnote =      {},
1368
  OPTannote =    {}
1369
}
1370
 
1371
 
1372
%I. Cidon and I. Keidar
1373
%Zooming in on Network-on-Chip Architectures".
1374
%Technical Report CCIT 565, Technion Department of Electrical Engineering, December 2005.
1375
@TechReport{cidon05,
1376
  author =       {I. Cidon and I. Keidar},
1377
  title =        {Zooming in on Network-on-Chip Architectures},
1378
  institution =  {Technion Department of Electrical Engineering},
1379
  year =         {2005},
1380
  OPTkey =       {},
1381
  OPTtype =      {},
1382
  number =       {CCIT 565},
1383
  OPTaddress =   {},
1384
  month =        {Dec.},
1385
  OPTnote =      {},
1386
  OPTannote =    {}
1387
}
1388
 
1389
 
1390
 
1391
% Benchmarketing competition
1392
% Colwell, B.;
1393
%Computer
1394
%Volume 36,  Issue 12,  Dec. 2003 Page(s):9 - 11
1395
%Digital Object Identifier 10.1109/MC.2003.1250853
1396
@Article{colwell03,
1397
  author =       {B. Colwell},
1398
  title =        {Benchmarketing competition},
1399
  journal =      {Computer},
1400
  year =         {2003},
1401
  OPTkey =       {},
1402
  OPTvolume =    {},
1403
  OPTnumber =    {},
1404
  pages =        {9--11},
1405
  month =        {Dec.},
1406
  OPTnote =      {},
1407
  OPTannote =    {}
1408
}
1409
 
1410
 
1411
@Book{colwell06,
1412
  author =       {Robert P Colwell},
1413
  ALTeditor =    {},
1414
  title =        {Pentium Chronicles - The people, passion, and politics behind Intel's landmark chips},
1415
  publisher =    {Wiley Interscience},
1416
  year =         {2006},
1417
  OPTkey =       {},
1418
  OPTvolume =    {},
1419
  OPTnumber =    {},
1420
  OPTseries =    {},
1421
  OPTaddress =   {},
1422
  OPTedition =   {},
1423
  OPTmonth =     {},
1424
  OPTnote =      {},
1425
  OPTannote =    {}
1426
}
1427
 
1428
 
1429
% T. Conte, Insight, not (random) numbers, keynote presentation,
1430
% ISPASS. 2005, [Online] http://ispass.org/ispass2005/keynote-conte.pdf
1431
@Misc{conte05,
1432
  OPTkey =       {},
1433
  author =       {T. Conte},
1434
  title =        {Insight, not (random) numbers, keynote presentation, },
1435
  howpublished = {keynote presentation at ISPASS, [online], http://ispass.org/ispass2005/keynote-conte.pdf},
1436
  OPTmonth =     {},
1437
  year =         {2005},
1438
  OPTnote =      {},
1439
  OPTannote =    {}
1440
}
1441
 
1442
%R. Cypher, A. Ho, S Konstantiinidou, P. Messian,
1443
%Arhictectural requirements of Parallel Scientific Applications with Explicit Communication,
1444
%ISCA, May 1993, pp. 2-13.
1445
@InProceedings{cypher93,
1446
  author =       {R. Cypher and A. Ho and S Konstantinidou and P. Messian},
1447
  title =        {Architectural requirements of Parallel Scientific Applications with Explicit Communication},
1448
  booktitle =    {ISCA},
1449
  OPTcrossref =  {},
1450
  OPTkey =       {},
1451
  pages =        {2--13},
1452
  year =         {1993},
1453
  OPTeditor =    {},
1454
  OPTvolume =    {},
1455
  OPTnumber =    {},
1456
  OPTseries =    {},
1457
  OPTaddress =   {},
1458
  month =        {May},
1459
  OPTorganization = {},
1460
  OPTpublisher = {},
1461
  OPTnote =      {},
1462
  OPTannote =    {}
1463
}
1464
 
1465
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
1466
%%
1467
%% DDD
1468
%%
1469
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
1470
 
1471
 
1472
%xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor SoCs
1473
%Dall'Osso, M.; Biccari, G.; Giovannini, L.; Bertozzi, D.; Benini, L.;
1474
%Computer Design, 2003. Proceedings. 21st International Conference on , Oct. 13-15, 2003
1475
%Pages:536 - 539
1476
@InProceedings{dallosso03,
1477
  author =       {M. Dall'Osso and G. Biccari and L. Giovannini and D. Bertozzi and L. Benini},
1478
  title =        {xpipes: A latency insensitive parameterized network-on-chip architecture for multi-processor {SoCs}},
1479
  booktitle =    {ICCD},
1480
  OPTcrossref =  {},
1481
  OPTkey =       {},
1482
  pages =        {13--15},
1483
  year =         {2003},
1484
  OPTeditor =    {},
1485
  OPTvolume =    {},
1486
  OPTnumber =    {},
1487
  OPTseries =    {},
1488
  OPTaddress =   {},
1489
  month =        {Oct.},
1490
  OPTorganization = {},
1491
  OPTpublisher = {},
1492
  OPTnote =      {},
1493
  OPTannote =    {}
1494
}
1495
 
1496
%Dally, W.J.; Towles, B. ,
1497
% Route packets, not wires: on-chip interconnection networks
1498
%Design Automation Conference (DAC), June 2001pp. 684 -689.
1499
@InProceedings{dally01,
1500
  author =       {W.J. Dally and B. Towles},
1501
  title =        {Route packets, not wires: on-chip interconnection networks},
1502
  booktitle =    {DAC},
1503
  OPTcrossref =  {},
1504
  OPTkey =       {},
1505
  pages =        {684--689},
1506
  year =         {2001},
1507
  OPTeditor =    {},
1508
  OPTvolume =    {},
1509
  OPTnumber =    {},
1510
  OPTseries =    {},
1511
  OPTaddress =   {},
1512
  month =        {Jun.},
1513
  OPTorganization = {},
1514
  OPTpublisher = {},
1515
  OPTnote =      {},
1516
  OPTannote =    {}
1517
}
1518
 
1519
 
1520
@InBook{dally04,
1521
  author =       {William James Dally and Brian Towles},
1522
  ALTeditor =    {},
1523
  title =        {Principles and practices of interconnection networks},
1524
  chapter =      {},
1525
  publisher =    {Morgan Kaufmann Publishers},
1526
  year =         {2004},
1527
  OPTkey =       {},
1528
  OPTvolume =    {},
1529
  OPTnumber =    {},
1530
  OPTseries =    {},
1531
  OPTtype =      {},
1532
  OPTaddress =   {},
1533
  OPTedition =   {},
1534
  OPTmonth =     {},
1535
  OPTpages =     {},
1536
  OPTnote =      {},
1537
  OPTannote =    {}
1538
}
1539
 
1540
 
1541
 
1542
@InProceedings{dasgupta06,
1543
  author =       {Sohini Dasgupta and Alex Yakovlev},
1544
  title =        {Modeling And Performance Analysis of {GALS} Architectures},
1545
  booktitle =    tresoc,
1546
  OPTcrossref =  {},
1547
  OPTkey =       {},
1548
  pages =        {187--190},
1549
  year =         {2006},
1550
  OPTeditor =    {},
1551
  OPTvolume =    {},
1552
  OPTnumber =    {},
1553
  OPTseries =    {},
1554
  OPTaddress =   {Tampere, Finland},
1555
  month =        {Nov.},
1556
  OPTorganization = {},
1557
  OPTpublisher = {},
1558
  OPTnote =      {},
1559
  OPTannote =    {}
1560
}
1561
 
1562
%R.P. Dick, D.L. Rhodes, W. Wolf,
1563
%TGFF: Task Graphs for Free, CODES/CASHE, Seattle, WA, Mar. 1998, pp. 97-101.
1564
@InProceedings{dick98,
1565
  author =       {R.P. Dick and D.L. Rhodes and W. Wolf},
1566
  title =        {{TGFF}: Task Graphs for Free},
1567
  booktitle =    {CODES/CASHE},
1568
  OPTcrossref =  {},
1569
  OPTkey =       {},
1570
  pages =        {97--101},
1571
  year =         {1998},
1572
  OPTeditor =    {},
1573
  OPTvolume =    {},
1574
  OPTnumber =    {},
1575
  OPTseries =    {},
1576
  OPTaddress =   {Seattle, WA, USA},
1577
  month =        {Mar.},
1578
  OPTorganization = {},
1579
  OPTpublisher = {},
1580
  OPTnote =      {},
1581
  OPTannote =    {}
1582
}
1583
 
1584
 
1585
% R. Dick, Embedded system synthesis benchmarks suites (E3S),
1586
% http://www.ece.northwestern.edu/~dickrp/e3s/
1587
 
1588
@Misc{dick02,
1589
  OPTkey =       {},
1590
  author =       {R. Dick},
1591
  title =        {Embedded system synthesis benchmarks suites ({E3S}), version 0.9},
1592
  howpublished = {[online],http://www.ece.northwestern.edu/~dickrp/e3s/},
1593
  OPTmonth =     {},
1594
  year =         {2002},
1595
  OPTnote =      {},
1596
  OPTannote =    {}
1597
}
1598
 
1599
%R. Dobkin, R. Ginosar, C.P. Sotiriou, High Rate Data Synchronization in GALS SoCs,
1600
%IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 14, Iss. 10, Oct. 2006, pp. 1063 - 1074.
1601
@Article{dobkin06,
1602
  author =       {R. Dobkin and R. Ginosar and C.P. Sotiriou},
1603
  title =        {High Rate Data Synchronization in {GALS SoCs}},
1604
  journal =      t-vlsi,
1605
  year =         {2006},
1606
  OPTkey =       {},
1607
  volume =       {14},
1608
  number =       {10},
1609
  pages =        {1063--1074},
1610
  OPTmonth =     {},
1611
  OPTnote =      {},
1612
  OPTannote =    {}
1613
}
1614
 
1615
%Flexible MPSoC Platform with Fast Interconnect Exploration for Optimal System Performance for a Specific Application,
1616
%F. Dumitrascu, I. Bacivarov, L. Pieralisi, M. Bonaciu, A.A. Jerraya,
1617
%Design, Automation and Test in Europe (DATE), Vol. 2,  06-10 March 2006, pp. 1 - 6.
1618
@InProceedings{dumitrascu06,
1619
  author =       {F. Dumitrascu and I. Bacivarov and L. Pieralisi and M. Bonaciu and A.A. Jerraya},
1620
  title =        {Flexible {MPSoC} Platform with Fast Interconnect Exploration for Optimal System Performance for a Specific Application},
1621
  booktitle =    {DATE},
1622
  OPTcrossref =  {},
1623
  OPTkey =       {},
1624
  pages =        {1--6},
1625
  year =         {2006},
1626
  OPTeditor =    {},
1627
  OPTvolume =    {},
1628
  OPTnumber =    {},
1629
  OPTseries =    {},
1630
  OPTaddress =   {},
1631
  month =        {Mar.},
1632
  OPTorganization = {},
1633
  OPTpublisher = {},
1634
  OPTnote =      {},
1635
  OPTannote =    {}
1636
}
1637
 
1638
%\bibitem {dutta01} Dutta, S., \emph{et al.}: Viper: A Multiprocessor SoC for
1639
%  Advanced Set-Top Box and Digital TV Systems. IEEE Design and Test of
1640
%  Computers, vol. 18, issue 5 (2001) 21-31
1641
@Article{dutta01,
1642
  author =       {Santanu Dutta and Rune Jensen and Alf Rieckmann},
1643
  title =        {Viper: A multiprocessor {SOC} for advanced set-top box and digital {TV} systems},
1644
  journal =      {IEEE Des. Test Comput},
1645
  year =         {2001},
1646
  volume =       {18},
1647
  number =       {5},
1648
  pages =        {21--31},
1649
  month =        {Sep.-Oct.},
1650
  OPTnote =      {Tähän voinee kirjoitella mitä lystää}
1651
}
1652
 
1653
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
1654
%%
1655
%% EEE
1656
%%
1657
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
1658
 
1659
 
1660
 
1661
@ARTICLE{Edenfeld04,
1662
  author      = {D. Edenfeld and A. B. Kahng and M. Rodgers and Y. Zorian},
1663
  title       = {2003 technology roadmap for semiconductors},
1664
  journal     = {IEEE Computer},
1665
  volume      = {37},
1666
  number      = {1},
1667
  month       = {Jan.},
1668
  pages       = {47--56},
1669
  year        = {2004}
1670
}
1671
 
1672
 
1673
 
1674
 
1675
%EEMBC,
1676
%Certified Performance Analysis for embedded systems designers,
1677
%[online], http://www.eembc.org/, visited May 12th 2005.
1678
@Misc{eembc06,
1679
  OPTkey =       {},
1680
  author =       {{EEMBC}},
1681
  title =        {Certified Performance Analysis for embedded systems designers},
1682
  howpublished = {[online], http://www.eembc.org/},
1683
  OPTmonth =     {},
1684
  OPTyear =      {},
1685
  OPTnote =      {},
1686
  OPTannote =    {}
1687
}
1688
 
1689
%D. Emma, A. Pescapè, G. Ventre,
1690
%Analysis and experimentation of an open distributed platform for synthetic traffic generation,
1691
%FTDCS, Suzhou, China, May 2004, pp. 277-283.
1692
 
1693
@InProceedings{emma04,
1694
  author =       {D. Emma and A. Pescapè and G. Ventre},
1695
  title =        {Analysis and experimentation of an open distributed platform for synthetic traffic generation},
1696
  booktitle =    {FTDCS},
1697
  OPTcrossref =  {},
1698
  OPTkey =       {},
1699
  pages =        {277--283},
1700
  year =         {2004},
1701
  OPTeditor =    {},
1702
  OPTvolume =    {},
1703
  OPTnumber =    {},
1704
  OPTseries =    {},
1705
  address =      {Suzhou, China},
1706
  month =        {May},
1707
  OPTorganization = {},
1708
  OPTpublisher = {},
1709
  OPTnote =      {},
1710
  OPTannote =    {}
1711
}
1712
 
1713
%\bibitem {erbas03} Erbas, C. et al.:
1714
%IDF models for trace transformations: A case study in computational refinement.
1715
%In: Proc. of SAMOS (2003) 167-172
1716
@InProceedings{erbas03,
1717
  author =       {Cagkan Erbas and Simon Polstra and Andy D. Pimentel},
1718
  title =        {{IDF} models for trace transformations: A case study in computational refinement},
1719
  booktitle =    {SAMOS},
1720
  OPTcrossref =  {},
1721
  OPTkey =       {},
1722
  pages =        {167--172},
1723
  year =         {2003},
1724
  OPTeditor =    {},
1725
  OPTvolume =    {},
1726
  OPTnumber =    {},
1727
  OPTseries =    {},
1728
  OPTaddress =   {Samos, Greece},
1729
  OPTmonth =     {},
1730
  OPTorganization = {},
1731
  OPTpublisher = {},
1732
  OPTnote =      {},
1733
  OPTannote =    {}
1734
}
1735
 
1736
%The evolution of DSP processors
1737
%Eyre, J.; Bier, J.;
1738
%Signal Processing Magazine, IEEE
1739
%Volume 17,  Issue 2,  March 2000 Page(s):43 - 51
1740
%Digital Object Identifier 10.1109/79.826411
1741
 
1742
@Article{Eyre00,
1743
  author =       {J. Eyre and J.Bier},
1744
  title =        {The evolution of {DSP} processors},
1745
  journal =      {IEEE Signal Processing Magazine},
1746
  year =         {2000},
1747
  OPTkey =       {},
1748
  volume =       {17},
1749
  number =       {2},
1750
  pages =        {43--51},
1751
  month =        {Mar.},
1752
  OPTnote =      {},
1753
  OPTannote =    {}
1754
}
1755
 
1756
 
1757
 
1758
%S. Evain, J. P. Diguet, D. Houzet,
1759
%"µSpider: a CAD Tool for efficient NoC design",
1760
%IEEE NORCHIP 2004, Oslo, NORWAY, November 8-9, 2004.
1761
@InProceedings{evain04,
1762
  author =       {S. Evain and J. P. Diguet and D. Houzet},
1763
  title =        {$\mu$Spider: a {CAD} Tool for efficient {NoC} design},
1764
  booktitle =    {Norchip},
1765
  OPTcrossref =  {},
1766
  OPTkey =       {},
1767
  pages =        {218--221},
1768
  year =         {2004},
1769
  OPTeditor =    {},
1770
  OPTvolume =    {},
1771
  OPTnumber =    {},
1772
  OPTseries =    {},
1773
  OPTaddress =   {},
1774
  month =        {Nov.},
1775
  OPTorganization = {},
1776
  OPTpublisher = {},
1777
  OPTnote =      {},
1778
  OPTannote =    {}
1779
}
1780
 
1781
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
1782
%%
1783
%% FFF
1784
%%
1785
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
1786
 
1787
 
1788
 
1789
%Performance Evaluation for Three-Dimensional Networks-On-Chip
1790
%Feero, B.; Pande, P.P.
1791
%Page(s): 305-310
1792
@InProceedings{feero07,
1793
  author =       {B. Feero  and P.P. Pande},
1794
  title =        {Performance Evaluation for Three-Dimensional Networks-On-Chip},
1795
  booktitle =    {ISVLSI},
1796
  OPTcrossref =  {},
1797
  OPTkey =       {},
1798
  pages =        {305--310},
1799
  year =         {2007},
1800
  OPTeditor =    {},
1801
  OPTvolume =    {},
1802
  OPTnumber =    {},
1803
  OPTseries =    {},
1804
  OPTaddress =   {},
1805
  month =        {May},
1806
  OPTorganization = {},
1807
  OPTpublisher = {},
1808
  OPTnote =      {},
1809
  OPTannote =    {}
1810
}
1811
 
1812
 
1813
%Felicijan, F.; Furber, S.B.;
1814
% välillä kirjoitettu Feliciian! ilma j:tä
1815
%An asynchronous on-chip network router with quality-of-service (QoS) support
1816
%SOC Conference, 2004. Proceedings. IEEE International
1817
%12-15 Sept. 2004 Page(s):274 - 277
1818
@InProceedings{felicijan04,
1819
  author =       {F. Felicijan and S.B. Furber},
1820
  title =        {An asynchronous on-chip network router with quality-of-service {(QoS)} support},
1821
  booktitle =    {SOCC},
1822
  OPTcrossref =  {},
1823
  OPTkey =       {},
1824
  pages =        {274--277},
1825
  year =         {2004},
1826
  OPTeditor =    {},
1827
  OPTvolume =    {},
1828
  OPTnumber =    {},
1829
  OPTseries =    {},
1830
  OPTaddress =   {},
1831
  month =        {Sep.},
1832
  OPTorganization = {},
1833
  OPTpublisher = {},
1834
  OPTnote =      {},
1835
  OPTannote =    {}
1836
}
1837
 
1838
 
1839
%David Flynn,
1840
%AMBA: Enabling Reusable On-Chip Designs,
1841
%IEEE Micro, July/August 1997, Vol. 17, No. 4, pp. 20-27.
1842
@Article{flynn97,
1843
  author =       {David Flynn},
1844
  title =        {AMBA: Enabling Reusable On-Chip Designs},
1845
  journal =      {IEEE Micro},
1846
  year =         {1997},
1847
  OPTkey =       {},
1848
  volume =       {17},
1849
  number =       {4},
1850
  pages =        {20--27},
1851
  month =        {Jul./Aug.},
1852
  OPTnote =      {},
1853
  OPTannote =    {}
1854
}
1855
 
1856
 
1857
 
1858
%Forsell, M.;
1859
%A scalable high-performance computing solution for networks on chips
1860
%Micro, IEEE , Volume: 22 Issue: 5 , Sept.-Oct. 2002
1861
%Page(s): 46 -55
1862
@Article{forsell02,
1863
  author =       {M Forsell},
1864
  title =        {A scalable high-performance computing solution for networks on chips},
1865
  journal =      {IEEE Micro},
1866
  year =         {2002},
1867
  OPTkey =       {},
1868
  volume =       {22},
1869
  number =       {5},
1870
  pages =        {46--55},
1871
  month =        {Sep.-Oct.},
1872
  OPTnote =      {},
1873
  OPTannote =    {}
1874
}
1875
 
1876
 
1877
%E. Frachtenberg and D. G. Feitelson,
1878
%``Pitfalls in parallel job scheduling evaluation''.
1879
%In Job Scheduling Strategies for Parallel Processing,
1880
%D. G. Feitelson, E. Frachtenberg, L. Rudolph, and U. Schwiegelshohn (Eds.), pp. 257-282,
1881
%Springer-Verlag, 2005. Lecture Notes in Computer Science Vol. 3834.
1882
 
1883
@InCollection{frachtenberg05,
1884
  author =       {E. Frachtenberg and D. G. Feitelson},
1885
  title =        {Pitfalls in parallel job scheduling evaluation},
1886
  booktitle =    {LNCS 3834: Job Scheduling Strategies for Parallel Processing},
1887
  OPTcrossref =  {},
1888
  OPTkey =       {},
1889
  pages =        {257-282},
1890
  publisher =    {Springer-Verlag},
1891
  year =         {2005},
1892
  OPTeditor =    {},
1893
  OPTvolume =    {},
1894
  OPTnumber =    {},
1895
  OPTseries =    {},
1896
  OPTtype =      {},
1897
  OPTchapter =   {},
1898
  OPTaddress =   {},
1899
  OPTedition =   {},
1900
  OPTmonth =     {},
1901
  OPTnote =      {},
1902
  OPTannote =    {}
1903
}
1904
 
1905
 
1906
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
1907
%%
1908
%% GGG
1909
%%
1910
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
1911
 
1912
 
1913
 
1914
%C.H.   Gebotys,  R.J. Gebotys,
1915
%A framework for security on NoC technologies,
1916
%IEEE Computer Society Annual Symposium on VLSI,
1917
%20-21 Feb. 2003, pp. 113 - 117.
1918
@InProceedings{gebotys03,
1919
  author =       {C.H.  Gebotys and  R.J. Gebotys},
1920
  title =        {A framework for security on {NoC} technologies},
1921
  booktitle =    {VLSI},
1922
  OPTcrossref =  {},
1923
  OPTkey =       {},
1924
  pages =        {113--117},
1925
  year =         {2003},
1926
  OPTeditor =    {},
1927
  OPTvolume =    {},
1928
  OPTnumber =    {},
1929
  OPTseries =    {},
1930
  OPTaddress =   {},
1931
  month =        {Feb.},
1932
  OPTorganization = {},
1933
  OPTpublisher = {},
1934
  OPTnote =      {},
1935
  OPTannote =    {}
1936
}
1937
 
1938
%N. Genko, D. Atienza, G. De Micheli, L. Benini, J.M. Mendias, R. Hermida, F. Catthoor,
1939
%A Novel Approach for Network on Chip Emulation,
1940
%ISCAS, May 2005, pp. 2365-2368.
1941
@InProceedings{genko05,
1942
  author =       {N. Genko and D. Atienza and G. de Micheli and L. Benini and J.M. Mendias and R. Hermida and F. Catthoor},
1943
  title =        {A Novel Approach for Network on Chip Emulation},
1944
  booktitle =    {ISCAS},
1945
  OPTcrossref =  {},
1946
  OPTkey =       {},
1947
  pages =        {2365--2368},
1948
  year =         {2005},
1949
  OPTeditor =    {},
1950
  OPTvolume =    {},
1951
  OPTnumber =    {},
1952
  OPTseries =    {},
1953
  OPTaddress =   {},
1954
  month =        {May},
1955
  OPTorganization = {},
1956
  OPTpublisher = {},
1957
  OPTnote =      {},
1958
  OPTannote =    {}
1959
}
1960
 
1961
 
1962
%Essential Fault-Tolerance Metrics for NoC Infrastructures
1963
%Grecu, Cristian; Anghel, Lorena; Pande, Partha P.; Ivanov, Andre; Saleh, Resve;
1964
%On-Line Testing Symposium, 2007. IOLTS 07. 13th IEEE International
1965
%8-11 July 2007 Page(s):37 - 42
1966
@InProceedings{grecu07b,
1967
  author =       {Cristian Grecu and Lorena Anghel and Partha P. Pande and  Andre Ivanov and Resve Saleh},
1968
  title =        {Essential Fault-Tolerance Metrics for {NoC} Infrastructures},
1969
  booktitle =    {IOLTS},
1970
  OPTcrossref =  {},
1971
  OPTkey =       {},
1972
  pages =        {37--42},
1973
  year =         {2007},
1974
  OPTeditor =    {},
1975
  OPTvolume =    {},
1976
  OPTnumber =    {},
1977
  OPTseries =    {},
1978
  OPTaddress =   {},
1979
  month =        {Jul.},
1980
  OPTorganization = {},
1981
  OPTpublisher = {},
1982
  OPTnote =      {},
1983
  OPTannote =    {}
1984
}
1985
 
1986
@Article{grecu07c,
1987
  author =       {C. Grecu and A. Ivanov and R. Saleh and P.P. Pande},
1988
  title =        {Testing Network-on-Chip Communication Fabrics},
1989
  journal =      {IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems},
1990
  year =         {2007},
1991
  OPTkey =       {},
1992
  volume =       {26},
1993
  number =       {10},
1994
  pages =        {2201--2014},
1995
  month =        {Dec.},
1996
  OPTnote =      {},
1997
  OPTannote =    {}
1998
}
1999
 
2000
 
2001
%\bibitem{grecu08} Grecu, C., Ivanov, A., Saleh, R., Rusu, C., Anghel,
2002
%  L., Pande, P., Nuca, V.
2003
% A flexible network-on-chip simulator for early design space exploration,
2004
% IEEE Microsystems and Nanoelectronics Research Conference,
2005
% Ottawa, Canada, Oct. 2008, pp.
2006
%  33-36
2007
 
2008
@InProceedings{grecu08,
2009
  author =       {C. Grecu and A. Ivanov and R. Saleh and C. Rusu and L. Anghel and P. Pande and V. Nuca},
2010
  title =        {A flexible network-on-chip simulator for early design space exploration},
2011
  booktitle =    {MNRC},
2012
  OPTcrossref =  {},
2013
  OPTkey =       {},
2014
  pages =        {33--36},
2015
  year =         {2008},
2016
  OPTeditor =    {},
2017
  OPTvolume =    {},
2018
  OPTnumber =    {},
2019
  OPTseries =    {},
2020
  OPTaddress =   {},
2021
  month =        {Oct.},
2022
  OPTorganization = {},
2023
  OPTpublisher = {},
2024
  OPTnote =      {},
2025
  OPTannote =    {}
2026
}
2027
 
2028
 
2029
 
2030
%Ed Grochowski, Murali Annavaram,
2031
%Energy per Instruction Trends in Intel® Microprocessors,
2032
%Technology (at) Intel Magazine, March 2006, pp. 1-8.
2033
@Article{grochowski06,
2034
  author =       {Ed Grochowski and Murali Annavaram},
2035
  title =        {Energy per Instruction Trends in {Intel} Microprocessors},
2036
  journal =      {{Technology at Intel Magazine}},
2037
  OPTjournal =   {{Technology@Intel Magazine}},
2038
  year =         {2006},
2039
  OPTkey =       {},
2040
  OPTvolume =    {},
2041
  OPTnumber =    {},
2042
  pages =        {1--8},
2043
  month =        {Mar.},
2044
  OPTnote =      {},
2045
  OPTannote =    {}
2046
}
2047
 
2048
 
2049
%Guerrier Pierre, Greiner Alain
2050
%A Scalable Architecture for System-on-Chip Interconnections
2051
%Sophia Antipolis Forum on MicroElectronics (SAME'99), Sophia Antipolis, France, October 1999, pp. 90-93
2052
@InProceedings{guerrier99,
2053
  author =       {Pierre Guerrier and Alain Greiner},
2054
  title =        {A Scalable Architecture for System-on-Chip Interconnections},
2055
  booktitle =    {SAME},
2056
  OPTcrossref =  {},
2057
  OPTkey =       {},
2058
  pages =        {90--93},
2059
  year =         {1999},
2060
  OPTeditor =    {},
2061
  OPTvolume =    {},
2062
  OPTnumber =    {},
2063
  OPTseries =    {},
2064
  OPTaddress =   {Sophia Antipolis, France},
2065
  month =        {Oct.},
2066
  OPTorganization = {},
2067
  OPTpublisher = {},
2068
  OPTnote =      {},
2069
  OPTannote =    {}
2070
}
2071
 
2072
%P. Guerrier and A. Greiner,
2073
%A generic architecture for on-chip packet-switched interconnections,
2074
%DATE, Paris, France, Mar. 2000, pp. 250-256
2075
@InProceedings{guerrier00,
2076
  author =       {Pierre Guerrier and Alain Greiner},
2077
  title =        {A generic architecture for on-chip packet-switched interconnections},
2078
  booktitle =    {DATE},
2079
  OPTcrossref =  {},
2080
  OPTkey =       {},
2081
  pages =        {250--256},
2082
  year =         {2000},
2083
  OPTeditor =    {},
2084
  OPTvolume =    {},
2085
  OPTnumber =    {},
2086
  OPTseries =    {},
2087
  OPTaddress =   {Paris, France},
2088
  month =        {Mar.},
2089
  OPTorganization = {},
2090
  OPTpublisher = {},
2091
  OPTnote =      {},
2092
  OPTannote =    {}
2093
}
2094
 
2095
 
2096
 
2097
 
2098
@InProceedings{guo06,
2099
  author =       {Jin Guo and Antonis Papanikolaou and Pol Marchal and Francky Catthoor},
2100
  title =        {Energy/area/delay trade-offs in the physical design of on-chip segmented bus architecture},
2101
  booktitle =    {SLIP},
2102
  OPTcrossref =  {},
2103
  OPTkey =       {},
2104
  pages =        {75--81},
2105
  year =         {2006},
2106
  OPTeditor =    {},
2107
  OPTvolume =    {},
2108
  OPTnumber =    {},
2109
  OPTseries =    {},
2110
  OPTaddress =   {Munich, Germany},
2111
  month =        {Mar.},
2112
  OPTorganization = {},
2113
  OPTpublisher = {},
2114
  OPTnote =      {},
2115
  OPTannote =    {}
2116
}
2117
 
2118
%J.L. Gustafson, R. Todi,
2119
%Conventional benchmarks as a sample of the performance spectrum, HICSS, Kohala Coast, HI, Vol. 7, Jan. 1998, pp. 514-523.
2120
@InProceedings{gustafson98,
2121
  author =       {J.L. Gustafson and R. Todi},
2122
  title =        {Conventional benchmarks as a sample of the performance spectrum},
2123
  booktitle =    {HICSS},
2124
  OPTcrossref =  {},
2125
  OPTkey =       {},
2126
  pages =        {514--523},
2127
  year =         {1998},
2128
  OPTeditor =    {},
2129
  volume =       {7},
2130
  OPTnumber =    {},
2131
  OPTseries =    {},
2132
  OPTaddress =   {Kohala Coast, HI, USA},
2133
  month =        {Jan.},
2134
  OPTorganization = {},
2135
  OPTpublisher = {},
2136
  OPTnote =      {},
2137
  OPTannote =    {}
2138
}
2139
 
2140
 
2141
 
2142
%John L. Gustafson,
2143
%Reevaluating Amdahl's law,
2144
%Communications of the ACM,
2145
%Vol. 31 ,  Iss. 5, May 1988, pp. 532 - 533.
2146
@Article{gustafsson88,
2147
  author =       {John L. Gustafson},
2148
  title =        {Reevaluating {Amdahl's} law},
2149
  journal =      {Communications of the ACM},
2150
  year =         {1988},
2151
  OPTkey =       {},
2152
  volume =       {31},
2153
  number =       {5},
2154
  pages =        {532--533},
2155
  month =        {May},
2156
  OPTnote =      {},
2157
  OPTannote =    {}
2158
}
2159
 
2160
 
2161
%Matthew R. Guthaus, Jeffrey S. Ringenberg, Dan Ernst, Todd M. Austin, Trevor Mudge, Richard B. Brown.
2162
%"MiBench: A Free, Commercially Representative Embedded Benchmark Suite,"
2163
%IEEE International Workshop on Workload Characterization (WWC-4),
2164
%2 Dec. 2001, pp. 3 - 14.
2165
@InProceedings{guthaus01,
2166
  author =       {Matthew R. Guthaus and Jeffrey S. Ringenberg and Dan Ernst and Todd M. Austin and Trevor Mudge and Richard B. Brown},
2167
  title =        {{MiBench}: A Free, Commercially Representative Embedded Benchmark Suite},
2168
  booktitle =    {WWC-4},
2169
  OPTcrossref =  {},
2170
  OPTkey =       {},
2171
  pages =        {3--14},
2172
  year =         {2001},
2173
  OPTeditor =    {},
2174
  OPTvolume =    {},
2175
  OPTnumber =    {},
2176
  OPTseries =    {},
2177
  OPTaddress =   {Austin, TX, USA},
2178
  month =        {Dec},
2179
  OPTorganization = {},
2180
  OPTpublisher = {},
2181
  OPTnote =      {},
2182
  OPTannote =    {}
2183
}
2184
 
2185
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
2186
%%
2187
%% HHH
2188
%%
2189
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
2190
 
2191
%M.C. Hansen, H. Yalcin, J.P. Hayes,
2192
%Unveiling the ISCAS-85 benchmarks: a case study in reverse engineering,
2193
%IEEE Design & Test of Computers, Vol. 16,  Iss. 3,  July-Sept. 1999, pp. 72 - 80.
2194
 
2195
@Article{hansen99,
2196
  author =       {M.C. Hansen and H. Yalcin and J.P. Hayes},
2197
  title =        {Unveiling the {ISCAS-85} benchmarks: a case study in reverse engineering},
2198
  journal =      {IEEE Des. Test Comput},
2199
  year =         {1999},
2200
  OPTkey =       {},
2201
  volume =       {16},
2202
  number =       {3},
2203
  pages =        {72--80},
2204
  OPTmonth =     {},
2205
  OPTnote =      {},
2206
  OPTannote =    {}
2207
}
2208
 
2209
 
2210
 
2211
%A. Hansson, K. Goossens,
2212
%Trade-offs in the Configuration of a Network on Chip for Multiple Use-Cases,
2213
%First International Symposium on Networks-on-Chip (NOCS),
2214
%7-9 May 2007, pp. 233 - 242.
2215
@InProceedings{hansson07,
2216
  author =       {A. Hansson and K. Goossens},
2217
  title =        {Trade-offs in the Configuration of a Network on Chip for Multiple Use-Cases},
2218
  booktitle =    {NOCS},
2219
  OPTcrossref =  {},
2220
  OPTkey =       {},
2221
  pages =        {233--242},
2222
  year =         {2007},
2223
  OPTeditor =    {},
2224
  OPTvolume =    {},
2225
  OPTnumber =    {},
2226
  OPTseries =    {},
2227
  OPTaddress =   {},
2228
  month =        {May},
2229
  OPTorganization = {},
2230
  OPTpublisher = {},
2231
  OPTnote =      {},
2232
  OPTannote =    {}
2233
}
2234
 
2235
%Wim Heirman, Joni Dambre, and Jan Van Campenhout,
2236
%Synthetic traffic generation as a tool for dynamic interconnect evaluation
2237
%Proceedings of the 2007 international workshop on System level interconnect prediction, Austin, Texas, USA, 2007
2238
@InProceedings{heirman07,
2239
  author =       {Wim Heirman and Joni Dambre and Jan Van Campenhout},
2240
  title =        {Synthetic traffic generation as a tool for dynamic interconnect evaluation},
2241
  booktitle =    {SLIP},
2242
  OPTcrossref =  {},
2243
  OPTkey =       {},
2244
  pages =        {65--72},
2245
  year =         {2007},
2246
  OPTeditor =    {},
2247
  OPTvolume =    {},
2248
  OPTnumber =    {},
2249
  OPTseries =    {},
2250
  OPTaddress =   {Austin, TX, USA},
2251
  month =        {Apr.},
2252
  OPTorganization = {},
2253
  OPTpublisher = {},
2254
  OPTnote =      {},
2255
  OPTannote =    {}
2256
}
2257
 
2258
%A . Hemani, A. Jantsch, S. Kumar, A. Postula, J. Öberg, M. Millberg, and D. Lindqvist.
2259
%network on chip: An architecture for billion transistor era.
2260
%In Proceeding of the IEEE NorChip Conference, November 2000.
2261
@InProceedings{hemani00,
2262
  author =       {A. Hemani and A. Jantsch and S. Kumar and A. Postula and J. \"Oberg and M. Millberg and D. Lindqvist},
2263
  title =        {Network on chip: An architecture for billion transistor era},
2264
  booktitle =    {Norchip},
2265
  OPTcrossref =  {},
2266
  OPTkey =       {},
2267
  OPTpages =     {},
2268
  year =         {2000},
2269
  OPTeditor =    {},
2270
  OPTvolume =    {},
2271
  OPTnumber =    {},
2272
  OPTseries =    {},
2273
  OPTaddress =   {Turku, Finland},
2274
  month =        {Nov.},
2275
  OPTorganization = {},
2276
  OPTpublisher = {},
2277
  OPTnote =      {},
2278
  OPTannote =    {}
2279
}
2280
 
2281
%On-chip networks: a scalable, communication-centric embedded system design paradigm,
2282
%J. Henkel, W. Wolf, S. Chakradhar,
2283
%In Proc. 17th International Conference on VLSI Design, 5-9 Jan. 2004,  pp. 845 - 851.
2284
@InProceedings{henkel04,
2285
  author =       {J. Henkel and W. Wolf and S. Chakradhar},
2286
  title =        {On-chip networks: a scalable, communication-centric embedded system design paradigm},
2287
  booktitle =    {VLSI},
2288
  OPTcrossref =  {},
2289
  OPTkey =       {},
2290
  pages =        {845--851},
2291
  year =         {2004},
2292
  OPTeditor =    {},
2293
  OPTvolume =    {},
2294
  OPTnumber =    {},
2295
  OPTseries =    {},
2296
  OPTaddress =   {},
2297
  month =        {Jan.},
2298
  OPTorganization = {},
2299
  OPTpublisher = {},
2300
  OPTnote =      {},
2301
  OPTannote =    {}
2302
}
2303
 
2304
 
2305
@Book{hennessy03,
2306
  author =       {John L. Hennessy and David A. Patterson},
2307
  ALTeditor =    {},
2308
  title =        {Computer Architecture - A Quantitative Approach},
2309
  publisher =    {Morgan Kaufmann Publishers},
2310
  year =         {2003},
2311
  OPTkey =       {},
2312
  OPTvolume =    {},
2313
  OPTnumber =    {},
2314
  OPTseries =    {},
2315
  OPTaddress =   {},
2316
  edition =      {3rd},
2317
  OPTmonth =     {},
2318
  OPTnote =      {},
2319
  OPTannote =    {}
2320
}
2321
 
2322
 
2323
%Tomas Henriksson, Daniel Wiklund, and Dake Liu,
2324
%VLSI implementation of a switch for on-chip networks,
2325
%Proc of Int'l workshop on Design and diagnostics of electronic circuits and systems (DDECS), Poznan, Poland, Apr 2003
2326
@InProceedings{henrikson03,
2327
  author =       {Tomas Henriksson and Daniel Wiklund and Dake Liu},
2328
  title =        {{VLSI} implementation of a switch for on-chip networks},
2329
  booktitle =    {DDECS},
2330
  OPTcrossref =  {},
2331
  OPTkey =       {},
2332
  OPTpages =     {},
2333
  year =         {2003},
2334
  OPTeditor =    {},
2335
  OPTvolume =    {},
2336
  OPTnumber =    {},
2337
  OPTseries =    {},
2338
  OPTaddress =   {Poznan, Poland},
2339
  month =        {Apr.},
2340
  OPTorganization = {},
2341
  OPTpublisher = {},
2342
  OPTnote =      {},
2343
  OPTannote =    {}
2344
}
2345
 
2346
 
2347
 
2348
 
2349
%C. Hilton, B. Nelson
2350
%A flexible circuit switched NOC for FPGA based systems
2351
%This paper appears in: Field Programmable Logic and Applications, 2005. International Conference on
2352
%Publication Date: 24-26 Aug. 2005
2353
%On page(s): 191- 196
2354
@InProceedings{hilton05,
2355
  author =       {C. Hilton and B. Nelson},
2356
  title =        {A flexible circuit switched {NOC} for {FPGA} based systems},
2357
  booktitle =    {FPL},
2358
  OPTcrossref =  {},
2359
  OPTkey =       {},
2360
  pages =        {24--26},
2361
  year =         {2005},
2362
  OPTeditor =    {},
2363
  OPTvolume =    {},
2364
  OPTnumber =    {},
2365
  OPTseries =    {},
2366
  OPTaddress =   {Tampere, Finland},
2367
  month =        {Aug.},
2368
  OPTorganization = {},
2369
  OPTpublisher = {},
2370
  OPTnote =      {},
2371
  OPTannote =    {}
2372
}
2373
 
2374
%\bibitem {ho01} Ho, R. et al.:
2375
%The future of wires.
2376
%In: Proc. IEEE, 2001, Vol. 89, Iss. 4, 490-504
2377
@Article{ho01,
2378
  author =       {Ron Ho and Kenneth W. Mai and Mark A. Horowitz},
2379
  title =        {The future of wires},
2380
  journal =      {Proc. IEEE},
2381
  year =         {2001},
2382
  OPTkey =       {},
2383
  volume =       {89},
2384
  number =       {4},
2385
  pages =        {490--504},
2386
  month =        {Apr.},
2387
  OPTnote =      {},
2388
  OPTannote =    {}
2389
}
2390
 
2391
 
2392
 
2393
 
2394
@Book{hodges04,
2395
  author =       {David A. Hodges and Horae G. Jackson and Reseve A. Saleh},
2396
  ALTeditor =    {},
2397
  title =        {Analysis and Design of Digital Integrated Circuits: in deep submicron technology},
2398
  publisher =    {McGraw-Hill},
2399
  year =         {2004},
2400
  OPTkey =       {},
2401
  OPTvolume =    {},
2402
  OPTnumber =    {},
2403
  OPTseries =    {},
2404
  OPTaddress =   {},
2405
  edition =      {Third},
2406
  OPTmonth =     {},
2407
  OPTnote =      {},
2408
  OPTannote =    {}
2409
}
2410
 
2411
 
2412
 
2413
%Kalle Holma, Mikko Setälä, Erno Salminen, Timo D. Hämäläinen,
2414
%Evaluating the Model Accuracy in Automated Design Space Exploration,
2415
%10th Euromicro Conference on Digital System Design, Lübeck, Germany, August 27-31, 2007, 7 pages, Accepted.
2416
@InProceedings{holma07_korvaa_lehtijutulla,
2417
  author =       {Kalle Holma and Mikko Set\"al\"a and Erno Salminen and Timo H\"am\"al\"ainen},
2418
  title =        {Evaluating the Model Accuracy in Automated Design Space Exploration},
2419
  booktitle =    {Euromicro Conference on Digital System Design},
2420
  OPTcrossref =  {},
2421
  OPTkey =       {},
2422
  pages =        {173--180},
2423
  year =         {2007},
2424
  OPTeditor =    {},
2425
  OPTvolume =    {},
2426
  OPTnumber =    {},
2427
  OPTseries =    {},
2428
  OPTaddress =   {L\"ubeck, Germany},
2429
  month =        {Aug.},
2430
  OPTorganization = {},
2431
  OPTpublisher = {},
2432
  OPTnote =      {},
2433
  OPTannote =    {}
2434
}
2435
 
2436
 
2437
% Kalle Holma, Mikko Setälä, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen, "Evaluating the Model Accuracy in Automated Design Space Exploration",
2438
% Microprocessors and Microsystems: Special Issue in Dependability and Testing of Modern Digital Systems, April 15-15, 2008, 9 pages, Article in Press.
2439
@Article{holma08,
2440
  author =       {Kalle Holma and Mikko Set\"al\"a and Erno Salminen and Timo H\"am\"al\"ainen},
2441
  title =        {Evaluating the Model Accuracy in Automated Design Space Exploration},
2442
  journal =      {Microprocessors and Microsystems},
2443
  year =         {2008},
2444
  OPTkey =       {},
2445
  volume =       {32},
2446
  number =       {5-6},
2447
  pages =        {321--329},
2448
  month =        {Aug.},
2449
  OPTnote =      {},
2450
  OPTannote =    {}
2451
}
2452
 
2453
 
2454
 
2455
%\bibitem{holma08c} Holma, K., Arpinen, T., Salminen, E., H\"annik\"ainen,
2456
%  M., H\"am\"al\"ainen, T.D.: '
2457
% Real-Time Execution Monitoring on Multi-Processor System-on-Chip'.
2458
% Int. Symposium on
2459
%  System-on-Chip, Tampere, Finland, Nov. 2008, pp. 23-28
2460
@InProceedings{holma08c,
2461
  author =       {Kalle Holma and Tero Arpinen  and Erno Salminen and Marko H\"annik\"ainen and Timo H\"am\"al\"ainen},
2462
  title =        {Real-Time Execution Monitoring on Multi-Processor System-on-Chip},
2463
  booktitle =    tresoc,
2464
  OPTcrossref =  {},
2465
  OPTkey =       {},
2466
  pages =        {23--28},
2467
  year =         {2008},
2468
  OPTeditor =    {},
2469
  OPTvolume =    {},
2470
  OPTnumber =    {},
2471
  OPTseries =    {},
2472
  OPTaddress =   {Tampere, Finland},
2473
  month =        {Nov.},
2474
  OPTorganization = {},
2475
  OPTpublisher = {},
2476
  OPTnote =      {},
2477
  OPTannote =    {}
2478
}
2479
 
2480
@InProceedings{holsmark05,
2481
  author =       {Rickard Holsmark and Shashi Kumar},
2482
  title =        {Design issues and performance evaluation of mesh {NoC} with regions},
2483
  booktitle =    {Norchip},
2484
  OPTcrossref =  {},
2485
  OPTkey =       {},
2486
  pages =        {40--43},
2487
  year =         {2005},
2488
  OPTeditor =    {},
2489
  OPTvolume =    {},
2490
  OPTnumber =    {},
2491
  OPTseries =    {},
2492
  OPTaddress =   {},
2493
  month =        {Nov.},
2494
  OPTorganization = {},
2495
  OPTpublisher = {},
2496
  OPTnote =      {},
2497
  OPTannote =    {}
2498
}
2499
 
2500
%Reliable network-on-chip based on generalized de Bruijn graph
2501
%Hosseinabady, M.; Kakoee, M.R.; Mathew, J.; Pradhan, D.K.;
2502
%High Level Design Validation and Test Workshop, 2007. HLVDT 2007. IEEE International
2503
%7-9 Nov. 2007 Page(s):3 - 10
2504
%Digital Object Identifier 10.1109/HLDVT.2007.4392777
2505
@InProceedings{hosseinabady07,
2506
  author =       { M. Hosseinabady and M.R. Kakoee and  J. Mathew and D.K. Pradhan},
2507
  title =        {Reliable network-on-chip based on generalized de {Bruijn} graph},
2508
  booktitle =    {HLVDT},
2509
  OPTcrossref =  {},
2510
  OPTkey =       {},
2511
  pages =        {3--10},
2512
  year =         {2007},
2513
  OPTeditor =    {},
2514
  OPTvolume =    {},
2515
  OPTnumber =    {},
2516
  OPTseries =    {},
2517
  OPTaddress =   {},
2518
  month =        {Nov.},
2519
  OPTorganization = {},
2520
  OPTpublisher = {},
2521
  OPTnote =      {},
2522
  OPTannote =    {}
2523
}
2524
 
2525
%Jingcao Hu, Yangdong Deng, Radu Marculescu.
2526
%System-Level Point-to-Point Communication Synthesis Using Floorplanning Information.
2527
%ASP-DAC/VLSI, January, 2002, pp. 573 - 579.
2528
@InProceedings{hu02,
2529
  author =       {Jingcao Hu and Yangdong Deng and Radu Marculescu},
2530
  title =        {System-Level Point-to-Point Communication Synthesis Using Floorplanning Information},
2531
  booktitle =    {ASP-DAC/VLSI},
2532
  OPTcrossref =  {},
2533
  OPTkey =       {},
2534
  pages =        {573--579},
2535
  year =         {2002},
2536
  OPTeditor =    {},
2537
  OPTvolume =    {},
2538
  OPTnumber =    {},
2539
  OPTseries =    {},
2540
  OPTaddress =   {},
2541
  month =        {Jan.},
2542
  OPTorganization = {},
2543
  OPTpublisher = {},
2544
  OPTnote =      {},
2545
  OPTannote =    {}
2546
}
2547
 
2548
%M.-C. Chiang,  G.S. Sohi,
2549
%Evaluating design choices for shared bus multiprocessors in a throughput-oriented environment,
2550
%IEEE Transactions on Computers, Vol.41,  Iss. 3,  March 1992, pp. 297 - 317.
2551
@InProceedings{hu04,
2552
  author =       {Jingcao Hu and Redu Marculescu},
2553
  title =        {{DyAD} - Smart routing for networks-on-chip},
2554
  booktitle =    {DAC},
2555
  OPTcrossref =  {},
2556
  OPTkey =       {},
2557
  pages =        {260--263},
2558
  year =         {2004},
2559
  OPTeditor =    {},
2560
  OPTvolume =    {},
2561
  OPTnumber =    {},
2562
  OPTseries =    {},
2563
  OPTaddress =   {San Diego, CA},
2564
  month =        {June},
2565
  OPTorganization = {},
2566
  OPTpublisher = {},
2567
  OPTnote =      {},
2568
  OPTannote =    {}
2569
}
2570
 
2571
 
2572
 
2573
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
2574
%%
2575
%% III
2576
%%
2577
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
2578
 
2579
 
2580
@Manual{intel02,
2581
  title =        {Intel Itanium 2 Processor - Hardware Developer's Manual},
2582
  OPTkey =       {},
2583
  OPTauthor =    {},
2584
  organization = {{Intel Corporation}},
2585
  OPTaddress =   {},
2586
  OPTedition =   {Doc number 251109-001},
2587
  month =        {Jul.},
2588
  year =         {2002},
2589
  OPTnote =      {},
2590
  OPTannote =    {}
2591
}
2592
 
2593
 
2594
@Misc{intel06,
2595
  OPTkey =       {},
2596
  author =       {{Intel Corporation}},
2597
  title =        {Quick Processor Reference Guide},
2598
  howpublished = {[online], http://www.intel.com/pressroom/kits/quickrefffram.htm},
2599
  month =        {May},
2600
  year =         {2006},
2601
  OPTnote =      {},
2602
  OPTannote =    {}
2603
}
2604
 
2605
 
2606
 
2607
 
2608
@Misc{itrs06,
2609
  OPTkey =       {},
2610
  author =       {ITRS},
2611
  title =        {International Technology Roadmap for Semiconductors, editions 1999-2005},
2612
  howpublished = {[online], www.http://www.itrs.net},
2613
  month =        {May},
2614
  year =         {2006},
2615
  OPTnote =      {},
2616
  OPTannote =    {}
2617
}
2618
 
2619
 
2620
 
2621
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
2622
%%
2623
%% JJJ
2624
%%
2625
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
2626
 
2627
 
2628
 
2629
%xpipesCompiler: a tool for instantiating application specific networks on chip
2630
%Jalabert, A.; Murali, S.; Benini, L.; De Micheli, G.;
2631
%Design, Automation and Test in Europe Conference and Exhibition, 2004. Proceedings , Volume: 2 , Feb. 16-20, 2004
2632
%Pages:884 - 889
2633
@InProceedings{jalabert04,
2634
  author =       {Antoine Jalabert and Srinivasan Murali and Luca Benini and  Giovanni de Micheli},
2635
  title =        {XpipesCompiler: a tool for instantiating application specific networks on chip},
2636
  booktitle =    {DATE},
2637
  OPTcrossref =  {},
2638
  OPTkey =       {},
2639
  pages =        {884-889},
2640
  year =         {2004},
2641
  OPTeditor =    {},
2642
  OPTvolume =    {},
2643
  OPTnumber =    {},
2644
  OPTseries =    {},
2645
  address =      {},
2646
  month =        {Feb.},
2647
  OPTorganization = {},
2648
  OPTpublisher = {},
2649
  OPTnote =      {},
2650
  OPTannote =    {}
2651
}
2652
 
2653
 
2654
 
2655
%MoCSYS: A Multi-Clock Hybrid Two-Layer Router Architecture and Integrated Topology Synthesis Framework for System-Level Design of FPGA Based On-Chip Networks
2656
%Janarthanan, Arun; Tomko, Karen A.;
2657
%VLSI Design, 2008. VLSID 2208. 21st International Conference on
2658
%4-8 Jan. 2008 Page(s):397 - 402
2659
%Digital Object Identifier 10.1109/VLSI.2008.79
2660
@InProceedings{janarthanan08,
2661
  author =       {Arun Janarthanan and Karen A. Tomko},
2662
  title =        {{MoCSYS}: A Multi-Clock Hybrid Two-Layer Router Architecture and Integrated Topology Synthesis Framework for System-Level Design of {FPGA} Based On-Chip Networks},
2663
  booktitle =    {VLSID},
2664
  OPTcrossref =  {},
2665
  OPTkey =       {},
2666
  pages =        {397--402},
2667
  year =         {2008},
2668
  OPTeditor =    {},
2669
  OPTvolume =    {},
2670
  OPTnumber =    {},
2671
  OPTseries =    {},
2672
  OPTaddress =   {},
2673
  month =        {Jan.},
2674
  OPTorganization = {},
2675
  OPTpublisher = {},
2676
  OPTnote =      {},
2677
  OPTannote =    {}
2678
}
2679
 
2680
 
2681
 
2682
%\bibitem {jantsch03} Jantsch, A., Tenhunen, H. (eds.): Networks on
2683
%  Chip. Kluwer Academic Publishers, Dordrecht, The Netherlands (2003)
2684
@Book{jantsch03,
2685
  ALTauthor =    {},
2686
  editor =       {Axel Jantsch and Hannu Tenhunen},
2687
  title =        {Networks on Chip},
2688
  publisher =    kap,
2689
  year =         {2003},
2690
  OPTkey =       {},
2691
  OPTvolume =    {},
2692
  OPTnumber =    {},
2693
  OPTseries =    {},
2694
  address =      {Dordrecht, The Netherlands},
2695
  OPTedition =   {},
2696
  OPTmonth =     {},
2697
  OPTnote =      {},
2698
  OPTannote =    {}
2699
}
2700
 
2701
 
2702
%Axel Jantsch, Robert Lauter, Arseni Vitkowski,
2703
%Power analysis of link level and end-to-end data protection in networks on chip,
2704
%ISCAS, Kobe, Japan, May 2005, pp..
2705
@InProceedings{jantsch05,
2706
  author =       {Axel Jantsch and Robert Lauter and Arseni Vitkowski},
2707
  title =        {Power analysis of link level and end-to-end data protection in networks on chip},
2708
  booktitle =    {ISCAS},
2709
  OPTcrossref =  {},
2710
  OPTkey =       {},
2711
  pages =        {1770--1773},
2712
  year =         {2005},
2713
  OPTeditor =    {},
2714
  volume =       {2},
2715
  OPTnumber =    {},
2716
  OPTseries =    {},
2717
  OPTaddress =   {Kobe, Japan},
2718
  month =        {May},
2719
  OPTorganization = {},
2720
  OPTpublisher = {},
2721
  OPTnote =      {},
2722
  OPTannote =    {}
2723
}
2724
 
2725
 
2726
% A. Jantsch,
2727
% Models of Computation for Networks on Chip,
2728
%Application of Concurrency to System Design, 2006. ACSD 2006. Sixth International Conference on,
2729
%Date: 28-30 June 2006, pp.  165- 178.
2730
@InProceedings{jantsch06,
2731
  author =       {A. Jantsch},
2732
  title =        {Models of Computation for Networks on Chip},
2733
  booktitle =    {ACSD},
2734
  OPTcrossref =  {},
2735
  OPTkey =       {},
2736
  pages =        {2006},
2737
  year =         {165--178},
2738
  OPTeditor =    {},
2739
  OPTvolume =    {},
2740
  OPTnumber =    {},
2741
  OPTseries =    {},
2742
  OPTaddress =   {},
2743
  month =        {Jun.},
2744
  OPTorganization = {},
2745
  OPTpublisher = {},
2746
  OPTnote =      {},
2747
  OPTannote =    {}
2748
}
2749
 
2750
% A.A. Jerraya, Long term trends for embedded system design,  Euromicro Symposium on Digital System Design (DSD), Aug. 31 - Sept. 3. 2004, pp. 20 - 26.
2751
@InProceedings{jerraya04,
2752
  author =       {Ahmed A. Jerraya},
2753
  title =        {Long term trends for embedded system design},
2754
  booktitle =    {Euromicro DSD},
2755
  OPTcrossref =  {},
2756
  OPTkey =       {},
2757
  pages =        {20--26},
2758
  year =         {2004},
2759
  OPTeditor =    {},
2760
  OPTvolume =    {},
2761
  OPTnumber =    {},
2762
  OPTseries =    {},
2763
  OPTaddress =   {Rennes, France},
2764
  month =        {Sep.},
2765
  OPTorganization = {},
2766
  OPTpublisher = {},
2767
  OPTnote =      {},
2768
  OPTannote =    {}
2769
}
2770
 
2771
 
2772
@Book{jerraya04b,
2773
  author = {Ahmed Jerraya and Wayne Wolf},
2774
  ALTeditor =    {},
2775
  title = {Multiprocessor Systems-on-Chips},
2776
  publisher = {Morgan Kaufmann},
2777
  year =         {2004},
2778
  OPTkey =       {},
2779
  OPTvolume =    {},
2780
  OPTnumber =    {},
2781
  OPTseries =    {},
2782
  OPTaddress =   {},
2783
  OPTedition =   {},
2784
  month =        {Sep.},
2785
  OPTnote =      {},
2786
  OPTannote =    {}
2787
}
2788
 
2789
@InProceedings{jeschke07,
2790
  author =       {Hartwig Jeschke},
2791
  title =        {Efficiency Measures for Multimedia {SOCs}},
2792
  booktitle =    {SAMOS VII},
2793
  OPTbooktitle =         {LNCS},
2794
  OPTcrossref =  {},
2795
  OPTkey =       {},
2796
  pages =        {190--199},
2797
  year =         {2007},
2798
  OPTeditor =    {},
2799
  OPTvolume =    {4599},
2800
  OPTnumber =    {},
2801
  OPTseries =    {},
2802
  OPTaddress =   {Samos, Greece},
2803
  month =        {Jul.},
2804
  OPTorganization = {},
2805
  OPTpublisher = {Springer},
2806
  OPTnote =      {},
2807
  OPTannote =    {}
2808
}
2809
 
2810
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
2811
%%
2812
%% KKK
2813
%%
2814
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
2815
 
2816
 
2817
 
2818
%J. A. Kahle, M. N. Day, H. P. Hofstee, C. R. Johns, T. R. Maeurer, and D. Shippy,
2819
%Introduction to the cell multiprocessor.
2820
%IBM Journal of Research and Development
2821
%49, 4/5, Jul.-Sep.2005, pp. 589-604.
2822
 
2823
@Article{kahle05,
2824
  author =       {J. A. Kahle and M. N. Day and H. P. Hofstee and C. R. Johns and T. R. Maeurer and D. Shippy},
2825
  title =        {Introduction to the {Cell} multiprocessor},
2826
  journal =      {IBM Journal of Research and Development},
2827
  year =         {2005},
2828
  OPTkey =       {},
2829
  volume =       {49},
2830
  number =       {4/5},
2831
  pages =        {598--604},
2832
  month =        {Jul.-Sep.},
2833
  OPTnote =      {},
2834
  OPTannote =    {}
2835
}
2836
 
2837
 
2838
%\bibitem {kahn74} Kahn, G.:
2839
%The semantics of a simple language for parallel
2840
%programming. IFIP Congress, Stockholm, Sweden, (1974) 471-475
2841
@InProceedings{kahn74,
2842
  author =       {G. Kahn},
2843
  title =        {The semantics of a simple language for parallel programming},
2844
  booktitle =    {IFIP Conference},
2845
  OPTcrossref =  {},
2846
  OPTkey =       {},
2847
  pages =        {471--475},
2848
  year =         {1974},
2849
  OPTeditor =    {},
2850
  OPTvolume =    {},
2851
  OPTnumber =    {},
2852
  OPTseries =    {},
2853
  OPTaddress =   {Stockholm, Sweden},
2854
  month =        {Aug.},
2855
  OPTorganization = {},
2856
  OPTpublisher = {},
2857
  OPTnote =      {},
2858
  OPTannote =    {}
2859
}
2860
 
2861
 
2862
@ARTICLE{Kahng:02,
2863
  author      = "A.B. Kahng",
2864
  title       = "Directions for drivers and design",
2865
  journal     = IEEE_M_CD,
2866
  volume      = "18",
2867
  number      = "4",
2868
  month       = "Jul.",
2869
  pages       = "32--39",
2870
  year        = "2002"
2871
}
2872
 
2873
 
2874
@INPROCEEDINGS{kakita06,
2875
  author = {S. Kakita and others},
2876
  title = {Functional Model Exploration for Multimedia Application via Algebraic Operators},
2877
  booktitle = "ACSD",
2878
  month = "June",
2879
  year = "2006",
2880
  pages = "229--238"
2881
}
2882
 
2883
 
2884
%S. Kalidindi, N. Huynh, B. Eklow, J. Goldstein,
2885
%Real life system testing of networking equipment,
2886
%ITC, Oct. 2004, pp. 1072 - 1077.
2887
 
2888
@InProceedings{kalidindi04,
2889
  author =       {S. Kalidindi and N. Huynh and B. Eklow and J. Goldstein},
2890
  title =        {{"Real life"} system testing of networking equipment},
2891
  booktitle =    {ITC},
2892
  OPTcrossref =  {},
2893
  OPTkey =       {},
2894
  pages =        {1072--1077},
2895
  year =         {2004},
2896
  OPTeditor =    {},
2897
  OPTvolume =    {},
2898
  OPTnumber =    {},
2899
  OPTseries =    {},
2900
  OPTaddress =   {},
2901
  month =        {Oct.},
2902
  OPTorganization = {},
2903
  OPTpublisher = {},
2904
  OPTnote =      {},
2905
  OPTannote =    {}
2906
}
2907
 
2908
%Flexible Architecture for System-On-Chip Video Codec [pdf]
2909
%Tero Kangas, Erno Salminen, Kimmo Kuusilinna, Timo Hämäläinen, and Jukka Saarinen
2910
%Proceedings of Symposium on Intelligent Signal Processing and Communication Systems (ISPACS 2000)
2911
%Honolulu, Hawaii, USA, November 5-8, 2000, pp. 216-221.
2912
@InProceedings{kangas01,
2913
  author =       {Tero Kangas and Erno Salminen and Kimmo Kuusilinna and Timo H\"am\"al\"ainen and Jukka Saarinen},
2914
  title =        {Flexible Architecture for System-On-Chip Video Codec},
2915
  booktitle =    {ISPACS},
2916
  OPTcrossref =  {},
2917
  OPTkey =       {},
2918
  pages =        {216--221},
2919
  year =         {2001},
2920
  OPTeditor =    {},
2921
  OPTvolume =    {},
2922
  OPTnumber =    {},
2923
  OPTseries =    {},
2924
  address =      {Honolulu, Hawaii},
2925
  month =        {Nov.},
2926
  OPTorganization = {},
2927
  OPTpublisher = {},
2928
  OPTnote =      {},
2929
  OPTannote =    {}
2930
}
2931
 
2932
%\bibitem {kangas02} Kangas, T., \emph{et al.}: System-on-Chip Communication
2933
%  Optimization with Bus Monitoring. In proc. DDECS (2002) 304-309
2934
@InProceedings{kangas02,
2935
  author =       {Tero Kangas and Vesa Lahtinen and Kimmo Kuusilinna and Timo H\"am\"al\"ainen},
2936
  title =        {System-on-Chip Communication Optimization with Bus Monitoring},
2937
  booktitle =    {DDECS},
2938
  OPTcrossref =  {},
2939
  OPTkey =       {},
2940
  pages =        {304--309},
2941
  year =         {2002},
2942
  OPTeditor =    {},
2943
  OPTvolume =    {},
2944
  OPTnumber =    {},
2945
  OPTseries =    {},
2946
  OPTaddress =   {Brno, Czech Republic},
2947
  month =        {Apr.},
2948
  OPTorganization = {},
2949
  OPTpublisher = {},
2950
  OPTnote =      {},
2951
  OPTannote =    {}
2952
}
2953
 
2954
 
2955
% 10.09.2006 NYK. VITTAUS TÄHÄN JULKAISULLA [P3]!!!
2956
%\bibitem {kangas03} Kangas, T. et al.:
2957
%Using a communication generator in SoC architecture exploration. In: Proc. of
2958
%Symposium on System-on-chip (2003) 105-108
2959
 
2960
 
2961
@InProceedings{kangas04,
2962
  author =       {Tero Kangas and Jouni Riihim\"aki and Erno Salminen and Vesa Lahtinen and Heikki Orsila and Kimmo Kuusilinna and Timo H\"am\"al\"ainen},
2963
  title =        {A Communication-Centric Design Flow for {HIBI}-Based {SoCs}},
2964
  booktitle =    {SAMOS},
2965
  OPTcrossref =  {},
2966
  OPTkey =       {},
2967
  pages =        {474--483},
2968
  year =         {2004},
2969
  OPTeditor =    {},
2970
  OPTvolume =    {},
2971
  OPTnumber =    {},
2972
  OPTseries =    {},
2973
  address =      {Samos, Greece},
2974
  month =        {Jul.},
2975
  OPTorganization = {},
2976
  OPTpublisher = {},
2977
  OPTnote =      {},
2978
  OPTannote =    {}
2979
}
2980
 
2981
 
2982
@Article{kangas06,
2983
  author =       {Tero Kangas and Kimmo Kuusilinna and Timo D. H\"am\"al\"ainen},
2984
  title =        {Scalable Architecture for {SoC} Video Encoders},
2985
  journal =      {Journal of VLSI Signal Processing-Systems for Signal, Image, and Video Technology},
2986
  year =         {2006},
2987
  OPTkey =       {},
2988
  volume =       {44},
2989
  number =       {1-2},
2990
  pages =        {79--95},
2991
  month =        {Aug.},
2992
  OPTnote =      {},
2993
  OPTannote =    {}
2994
}
2995
 
2996
%Tero Kangas, Petri Kukkala, Heikki Orsila, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen, Jouni Riihimäki, Kimmo Kuusilinna,
2997
%"UML-based Multi-Processor SoC Design Framework",
2998
%Transactions on Embedded Computing Systems,
2999
%May 1, 2007, Vol.5, Issue 2, pp. 281-320
3000
@Article{kangas06b,
3001
  author =       {Tero Kangas and Petri Kukkala and Heikki Orsila and Erno Salminen and Marko H\"annik\"ainen and Timo D. H\"am\"al\"ainen and Jouni Riihim\"aki and Kimmo Kuusilinna},
3002
  title =        {{UML-based} Multi-Processor {SoC} Design Framework},
3003
  journal =      {ACM Trans. Embedded Computing Systems},
3004
  year =         {2006},
3005
  OPTkey =       {},
3006
  volume =       {5},
3007
  number =       {2},
3008
  pages =        {281--320},
3009
  month =        {May},
3010
  OPTnote =      {},
3011
  OPTannote =    {}
3012
}
3013
 
3014
 
3015
@PhdThesis{kangas06c,
3016
  author =       {Tero Kangas},
3017
  title =        {Methods and Implementations for Automated System on Chip Architecture Exploration},
3018
  school =       {Tampere University of Technology},
3019
  year =         {2006},
3020
  OPTkey =       {},
3021
  OPTtype =      {},
3022
  OPTaddress =   {},
3023
  OPTmonth =     {},
3024
  OPTnote =      {},
3025
  OPTannote =    {}
3026
}
3027
 
3028
%Karim, F.; Nguyen, A.; Dey, S.;
3029
%An interconnect architecture for networking systems on chips
3030
%Micro, IEEE
3031
%Volume 22,  Issue 5,  Sept.-Oct. 2002 Page(s):36 - 45
3032
@Article{karim02,
3033
  author =       {F. Karim and A. Nguyen and S. Dey},
3034
  title =        {An interconnect architecture for networking systems on chips},
3035
  journal =      {IEEE Micro},
3036
  year =         {2002},
3037
  OPTkey =       {},
3038
  volume =       {22},
3039
  number =       {5},
3040
  pages =        {36--45},
3041
  month =        {Sep.-Oct.},
3042
  OPTnote =      {},
3043
  OPTannote =    {}
3044
}
3045
 
3046
 
3047
%New adaptive routing algorithm for extended generalized fat trees on-chip
3048
%Kariniemi, H.; Nurmi, J.;
3049
%System-on-Chip, 2003. Proceedings. International Symposium on
3050
%19-21 Nov. 2003 Page(s):113 - 118
3051
@InProceedings{kariniemi03a,
3052
  author =       {Heikki Kariniemi and Jari Nurmi},
3053
  title =        {New adaptive routing algorithm for extended generalized fat trees on-chip},
3054
  booktitle =    tresoc,
3055
  OPTcrossref =  {},
3056
  OPTkey =       {},
3057
  pages =        {113--118},
3058
  year =         {2003},
3059
  OPTeditor =    {},
3060
  OPTvolume =    {},
3061
  OPTnumber =    {},
3062
  OPTseries =    {},
3063
  OPTaddress =   {Tampere, Finland},
3064
  month =        {Nov.},
3065
  OPTorganization = {},
3066
  OPTpublisher = {},
3067
  OPTnote =      {},
3068
  OPTannote =    {}
3069
}
3070
 
3071
%H. Kariniemi and J. Nurmi, “
3072
%Performance Evaluation of Three Arbiters for Internally Buffered Crossbar Switch”
3073
%Proc. of The 3rd IASTED International Conference on Circuits, Signals, and Systems, Cancun, Mexico, May 19 – 21, 2003.
3074
@InProceedings{kariniemi03b,
3075
  author =       {Heikki Kariniemi and Jari Nurmi},
3076
  title =        {Performance Evaluation of Three Arbiters for Internally Buffered Crossbar Switch},
3077
  booktitle =    {IASTED International Conference on Circuits, Signals, and Systems},
3078
  OPTcrossref =  {},
3079
  OPTkey =       {},
3080
  OPTpages =     {},
3081
  year =         {2003},
3082
  OPTeditor =    {},
3083
  OPTvolume =    {},
3084
  OPTnumber =    {},
3085
  OPTseries =    {},
3086
  address =      {Cancun, Mexico},
3087
  month =        {May},
3088
  OPTorganization = {},
3089
  OPTpublisher = {},
3090
  OPTnote =      {},
3091
  OPTannote =    {}
3092
}
3093
 
3094
 
3095
@InProceedings{kariniemi04,
3096
  author =       {Heikki Kariniemi and Jari Nurmi},
3097
  title =        {Reusable {XGFT} Interconnect {IP} for Network-On-Chip Implementations},
3098
  booktitle =    tresoc,
3099
  OPTcrossref =  {},
3100
  OPTkey =       {},
3101
  pages =        {94--102},
3102
  year =         {2004},
3103
  OPTeditor =    {},
3104
  OPTvolume =    {},
3105
  OPTnumber =    {},
3106
  OPTseries =    {},
3107
  OPTaddress =   {Tampere, Finland},
3108
  month =        {Nov.},
3109
  OPTorganization = {},
3110
  OPTpublisher = {},
3111
  OPTnote =      {},
3112
  OPTannote =    {}
3113
}
3114
 
3115
%Fault tolerant XGFT network on chip for multi processor system on chip circuits
3116
%Kariniemi, K.; Nurmi, J.;
3117
%Field Programmable Logic and Applications, 2005. International Conference on
3118
%24-26 Aug. 2005 Page(s):203 - 210
3119
@InProceedings{kariniemi05a,
3120
  author =       {Heikki Kariniemi and Jari Nurmi},
3121
  title =        {Fault tolerant XGFT network on chip for multi processor system on chip circuits},
3122
  booktitle =    {FPL},
3123
  OPTcrossref =  {},
3124
  OPTkey =       {},
3125
  pages =        {203--210},
3126
  year =         {2005},
3127
  OPTeditor =    {},
3128
  OPTvolume =    {},
3129
  OPTnumber =    {},
3130
  OPTseries =    {},
3131
  OPTaddress =   {Tampere, Finland},
3132
  month =        {Aug.},
3133
  OPTorganization = {},
3134
  OPTpublisher = {},
3135
  OPTnote =      {},
3136
  OPTannote =    {}
3137
}
3138
 
3139
%Fault-tolerant 2-D Mesh Network-On-Chip for MultiProcessor Systems-on-Chip
3140
%Kariniemi, H.; Nurmi, J.;
3141
%Design and Diagnostics of Electronic Circuits and systems, 2006 IEEE
3142
%April 18-21, 2006 Page(s):184 - 189
3143
@InProceedings{kariniemi05b,
3144
  author =       {Heikki Kariniemi and Jari Nurmi},
3145
  title =        {Fault-tolerant 2-D Mesh Network-On-Chip for MultiProcessor Systems-on-Chip},
3146
  booktitle =    {DDECS},
3147
  OPTcrossref =  {},
3148
  OPTkey =       {},
3149
  pages =        {184--189},
3150
  year =         {2005},
3151
  OPTeditor =    {},
3152
  OPTvolume =    {},
3153
  OPTnumber =    {},
3154
  OPTseries =    {},
3155
  OPTaddress =   {},
3156
  month =        {Apr.},
3157
  OPTorganization = {},
3158
  OPTpublisher = {},
3159
  OPTnote =      {},
3160
  OPTannote =    {}
3161
}
3162
 
3163
 
3164
@PhdThesis{kariniemi06,
3165
  author =       {Heikki Kariniemi},
3166
  title =        {On-line reconfigurable extended generalized fat tree network-on-chip for multiprocessor system-on-chip circuits},
3167
  school =       {Tampere University of Technology},
3168
  year =         {2006},
3169
  OPTkey =       {},
3170
  OPTtype =      {},
3171
  OPTaddress =   {},
3172
  OPTmonth =     {},
3173
  OPTnote =      {},
3174
  OPTannote =    {}
3175
}
3176
 
3177
 
3178
%N. Kavaldjiev,  G.J.M. Smit,
3179
%An energy-efficient network-on-chip for a heterogeneous tiled reconfigurable systems-on-chip,
3180
%Euromicro Symposium on Digital System Design (DSD), 31 Aug.-3 Sept. 2004, pp. 492 - 498.
3181
@InProceedings{kavaldjiev04a,
3182
  author =       {N. Kavaldjiev and  G.J.M. Smit},
3183
  title =        {An energy-efficient network-on-chip for a heterogeneous tiled reconfigurable systems-on-chip},
3184
  booktitle =    {Euromicro DSD},
3185
  OPTcrossref =  {},
3186
  OPTkey =       {},
3187
  pages =        {492--498},
3188
  year =         {2004},
3189
  OPTeditor =    {},
3190
  OPTvolume =    {},
3191
  OPTnumber =    {},
3192
  OPTseries =    {},
3193
  OPTaddress =   {},
3194
  month =        {Sep.},
3195
  OPTorganization = {},
3196
  OPTpublisher = {},
3197
  OPTnote =      {},
3198
  OPTannote =    {}
3199
}
3200
 
3201
 
3202
%Kavaldjiev, N.; Smit, G.J.M.; Jansen, P.G.;
3203
%A virtual channel router for on-chip networks
3204
%SOC Conference, 2004. Proceedings. IEEE International
3205
%12-15 Sept. 2004 Page(s):289 - 293
3206
@InProceedings{kavaldjiev04b,
3207
  author =       {N. Kavaldjiev and  G.J.M. Smit and P.G. Jansen},
3208
  title =        {A virtual channel router for on-chip networks},
3209
  booktitle =    {SOCC},
3210
  OPTcrossref =  {},
3211
  OPTkey =       {},
3212
  pages =        {289--293},
3213
  year =         {2004},
3214
  OPTeditor =    {},
3215
  OPTvolume =    {},
3216
  OPTnumber =    {},
3217
  OPTseries =    {},
3218
  OPTaddress =   {},
3219
  month =        {Sep.},
3220
  OPTorganization = {},
3221
  OPTpublisher = {},
3222
  OPTnote =      {},
3223
  OPTannote =    {}
3224
}
3225
 
3226
%Kavaldjiev, N.; Smit, G.J.M.; Jansen, P.G.; Wolkotte, P.T.;
3227
%A virtual channel network-on-chip for GT and BE traffic
3228
%Emerging VLSI Technologies and Architectures, 2006. IEEE Computer Society Annual Symposium on
3229
%Volume 00,  2-3 March 2006 Page(s):6 pp.
3230
@InProceedings{kavaldjiev06,
3231
  author =       {N. Kavaldjiev and G.J.M. Smit and P.G. Jansen and P.T. Wolkotte},
3232
  title =        {A virtual channel network-on-chip for {GT} and {BE} traffic},
3233
  booktitle =    {ISVLSI},
3234
  OPTcrossref =  {},
3235
  OPTkey =       {},
3236
  OPTpages =     {},
3237
  year =         {2006},
3238
  OPTeditor =    {},
3239
  volume =       {00},
3240
  OPTnumber =    {},
3241
  OPTseries =    {},
3242
  OPTaddress =   {},
3243
  month =        {Mar.},
3244
  OPTorganization = {},
3245
  OPTpublisher = {},
3246
  OPTnote =      {},
3247
  OPTannote =    {}
3248
}
3249
 
3250
 
3251
%\bibitem {keating02} Keating, M., Bricaud, P.:
3252
%  Manual 2nd edn. Kluwer Academic Publishers, Norwell, MA (2002)
3253
@Book{keating02,
3254
  author =       {Michael Keating and Pierre Bricaud},
3255
  ALTeditor =    {},
3256
  title =        {Reuse Methodology Manual},
3257
  publisher =    kap,
3258
  year =         {2002},
3259
  OPTkey =       {},
3260
  OPTvolume =    {},
3261
  OPTnumber =    {},
3262
  OPTseries =    {},
3263
  OPTaddress =   {Norwell, MA},
3264
  edition =      {Third},
3265
  OPTmonth =     {},
3266
  OPTnote =      {},
3267
  OPTannote =    {}
3268
}
3269
 
3270
%\bibitem {keutzer00} Keutzer, K., \emph{et al.}: System-Level Design:
3271
%  Orthogonalization of Concerns and Platform-Based Design.
3272
%  Computer-Aided Design of Integrated Circuits and Systems, vol. 19,
3273
%  issue 12 (2000) 1523-1543
3274
@Article{keutzer00,
3275
  author =       {Kurt Keutzer and Sharad Malik and Richard Newton and Jan M. Rabaey and Alberto Sangiovanni-Vincentelli},
3276
  title =        {System-Level Design: Orthogonalization of Concerns and Platform-Based Design},
3277
  journal =      t-cadics,
3278
  year =         {2000},
3279
  OPTkey =       {},
3280
  volume =       {19},
3281
  number =       {12},
3282
  OPTpages =     {1523--1543},
3283
  month =        {Dec.},
3284
  OPTnote =      {},
3285
  OPTannote =    {}
3286
}
3287
 
3288
 
3289
 
3290
%Daewook Kim, Manho Kim and Gerald E. Sobelman,
3291
%"Design of a High-Performance Scalable CDMA Router for On-Chip Switched Networks,"
3292
%Proceedings, International SoC Design Conference, pp. 32-35, 2005.
3293
@InProceedings{kim05,
3294
  author =       {Daewook Kim and Manho Kim and Gerald E. Sobelman},
3295
  title =        {Design of a High-Performance Scalable {CDMA} Router for On-Chip Switched Networks},
3296
  booktitle =    {ISSOC},
3297
  OPTcrossref =  {},
3298
  OPTkey =       {},
3299
  pages =        {32--35},
3300
  year =         {2005},
3301
  OPTeditor =    {},
3302
  OPTvolume =    {},
3303
  OPTnumber =    {},
3304
  OPTseries =    {},
3305
  OPTaddress =   {},
3306
  OPTmonth =     {},
3307
  OPTorganization = {},
3308
  OPTpublisher = {},
3309
  OPTnote =      {},
3310
  OPTannote =    {}
3311
}
3312
 
3313
 
3314
%Jongman Kim, Dongkook Park, Theo Theocharides, Narayanan Vijaykrishnan, Chita R. Das:
3315
%A low latency router supporting adaptivity for on-chip interconnects.
3316
%DAC 2005: 559-564
3317
@InProceedings{kim_j05,
3318
  author =       {Jongman Kim and Dongkook Park and Theo Theocharides and Narayanan Vijaykrishnan and Chita R. Das},
3319
  title =        {A low latency router supporting adaptivity for on-chip interconnects},
3320
  booktitle =    {DAC},
3321
  OPTcrossref =  {},
3322
  OPTkey =       {},
3323
  pages =        {559-564},
3324
  year =         {2005},
3325
  OPTeditor =    {},
3326
  OPTvolume =    {},
3327
  OPTnumber =    {},
3328
  OPTseries =    {},
3329
  OPTaddress =   {},
3330
  OPTmonth =     {},
3331
  OPTorganization = {},
3332
  OPTpublisher = {},
3333
  OPTnote =      {},
3334
  OPTannote =    {}
3335
}
3336
 
3337
 
3338
@ARTICLE{kim_s05,
3339
  author = {S. Kim and C. Im and S. Ha},
3340
  title = {Schedule-Aware Performance Estimation of Communication Architecture for Efficient Design Space Exploration},
3341
  journal = "IEEE Trans. VLSI Systems",
3342
  volume = "13",
3343
  number = "5",
3344
  month = "May",
3345
  year = "2005",
3346
  pages = "539--552"
3347
}
3348
 
3349
 
3350
 
3351
 
3352
@Book{kogel06,
3353
  author =       {Tim Kogel and Rainer Leupers and Heinrich Meyer},
3354
  ALTeditor =    {},
3355
  title =        {Integrated System-level Modeling of Network-on-Chip enabled Multiprocessor Platforms},
3356
  publisher =    {Springer},
3357
  year =         {2006},
3358
  OPTkey =       {},
3359
  OPTvolume =    {},
3360
  OPTnumber =    {},
3361
  OPTseries =    {},
3362
  OPTaddress =   {Dordrecht, The Netherlands},
3363
  OPTedition =   {},
3364
  OPTmonth =     {},
3365
  OPTnote =      {},
3366
  OPTannote =    {}
3367
}
3368
 
3369
%K. Kozminski,
3370
%Benchmarks for layout synthesis - evolution and current status,
3371
%Design Automation Conference (DAC), June 17-21, 1991, pp. 265 - 270.
3372
@InProceedings{kozminski91,
3373
  author =       {K. Kozminski},
3374
  title =        {Benchmarks for layout synthesis - evolution and current status},
3375
  booktitle =    {DAC},
3376
  OPTcrossref =  {},
3377
  OPTkey =       {},
3378
  pages =        {265--270},
3379
  year =         {1991},
3380
  OPTeditor =    {},
3381
  OPTvolume =    {},
3382
  OPTnumber =    {},
3383
  OPTseries =    {},
3384
  OPTaddress =   {},
3385
  month =        {Jun.},
3386
  OPTorganization = {},
3387
  OPTpublisher = {},
3388
  OPTnote =      {},
3389
  OPTannote =    {}
3390
}
3391
 
3392
%An 81.6 GOPS Object Recognition Processor Based on NoC and Visual Image Processing Memory
3393
%Kim, Donghyun; Kim, Kwanho; Kim, Joo-Young; Lee, Seungjin; Yoo, Hoi-Jun;
3394
%Custom Integrated Circuits Conference, 2007. CICC '07. IEEE
3395
%16-19 Sept. 2007 Page(s):443 - 446
3396
%Digital Object Identifier 10.1109/CICC.2007.4405769
3397
@InProceedings{kim_d07,
3398
  author =       {Donghyun Kim and  Kwanho Kim and Joo-Young Kim and Seungjin Lee and Hoi-Jun Yoo},
3399
  title =        {An 81.6 {GOPS} Object Recognition Processor Based on {NoC} and Visual Image Processing Memory},
3400
  booktitle =    {CICC},
3401
  OPTcrossref =  {},
3402
  OPTkey =       {},
3403
  pages =        {443-446},
3404
  OPTyear =      {},
3405
  OPTeditor =    {},
3406
  OPTvolume =    {},
3407
  OPTnumber =    {},
3408
  OPTseries =    {},
3409
  OPTaddress =   {},
3410
  month =        {Sep.},
3411
  OPTorganization = {},
3412
  OPTpublisher = {},
3413
  OPTnote =      {},
3414
  OPTannote =    {}
3415
}
3416
 
3417
 
3418
%C. Kretzschmar, A.K. Nieuwland, D. Muller,
3419
%Why transition coding for power minimization of on-chip buses does not work,
3420
%Proceedings Design, Automation and Test in Europe Conference and Exhibition (DATE),
3421
%16-20 Feb. 2004, Vol 1, pp. 512 - 517.
3422
@InProceedings{kretzschmar04,
3423
  author =       {C. Kretzschmar and A.K. Nieuwland and D. Muller},
3424
  title =        {Why transition coding for power minimization of on-chip buses does not work},
3425
  booktitle =    {DATE},
3426
  OPTcrossref =  {},
3427
  OPTkey =       {},
3428
  pages =        {512--517},
3429
  year =         {2004},
3430
  OPTeditor =    {},
3431
  volume =       {1},
3432
  OPTnumber =    {},
3433
  OPTseries =    {},
3434
  OPTaddress =   {},
3435
  month =        {Feb.},
3436
  OPTorganization = {},
3437
  OPTpublisher = {},
3438
  OPTnote =      {},
3439
  OPTannote =    {}
3440
}
3441
 
3442
%\bibitem {kreutz01} Kreutz, M.E. et al.:
3443
%Communication architectures for system-on-chip.
3444
%In: Proc. of SBCCI (2001) 14-19
3445
@InProceedings{kreutz01,
3446
  author =       {Marcio E. Kreutz and Luigi Carro and Cesar A. Zeferino and Altamiro A. Susin},
3447
  title =        {Communication architectures for system-on-chip},
3448
  booktitle =    {SBCCI},
3449
  OPTcrossref =  {},
3450
  OPTkey =       {},
3451
  pages =        {14--19},
3452
  year =         {2001},
3453
  OPTeditor =    {},
3454
  OPTvolume =    {},
3455
  OPTnumber =    {},
3456
  OPTseries =    {},
3457
  OPTaddress =   {Pirenopolis, Brazil},
3458
  month =        {Sep.},
3459
  OPTorganization = {},
3460
  OPTpublisher = {},
3461
  OPTnote =      {},
3462
  OPTannote =    {}
3463
}
3464
 
3465
 
3466
%Kreutz, M.; Marcon, C.; Carro, L.; Calazans, N.; Susin, A.A.;
3467
%Energy and latency evaluation of NoC topologies
3468
%Circuits and Systems, 2005. ISCAS 2005. IEEE International Symposium on
3469
%23-26 May 2005 Page(s):5866 - 5869 Vol. 6
3470
@InProceedings{kreutz05,
3471
  author =       {M. Kreutz and L. Marcon and L. Carro and N. Calazans and A.A. Susin},
3472
  title =        {Energy and latency evaluation of {NoC} topologies},
3473
  booktitle =    {ISCAS},
3474
  OPTcrossref =  {},
3475
  OPTkey =       {},
3476
  pages =        {5866--5869},
3477
  year =         {2005},
3478
  OPTeditor =    {},
3479
  volume =       {6},
3480
  OPTnumber =    {},
3481
  OPTseries =    {},
3482
  OPTaddress =   {Kobe, Japan},
3483
  month =        {May},
3484
  OPTorganization = {},
3485
  OPTpublisher = {},
3486
  OPTnote =      {},
3487
  OPTannote =    {}
3488
}
3489
 
3490
%P. Kukkala, V. Helminen, M. Hännikäinen, T. Hämäläinen,
3491
%UML 2.0 implementation of an embedded WLAN protocol,
3492
%PIMRC, Sep. 2004, Barcelona, Spain, pp. 1158-1162.
3493
@InProceedings{kukkala04,
3494
  author =       {P. Kukkala and V. Helminen and M. H\"annik\"ainen and T. H\"am\"al\"ainen},
3495
  title =        {{UML 2.0} implementation of an embedded {WLAN} protocol},
3496
  booktitle =    {PIMRC},
3497
  OPTcrossref =  {},
3498
  OPTkey =       {},
3499
  pages =        {1158--1162},
3500
  year =         {2004},
3501
  OPTeditor =    {},
3502
  OPTvolume =    {},
3503
  OPTnumber =    {},
3504
  OPTseries =    {},
3505
  OPTaddress =   {Barcelona, Spain},
3506
  month =        {Sep.},
3507
  OPTorganization = {},
3508
  OPTpublisher = {},
3509
  OPTnote =      {},
3510
  OPTannote =    {}
3511
}
3512
 
3513
 
3514
 
3515
@InProceedings{kukkala05,
3516
  author =       {P. Kukkala and  M. H\"annik\"ainen and T. H\"am\"al\"ainen},
3517
  title =        {Co-simulation of Wireless Local Area Network Terminals with Protocol Software Implemented in {SDL}},
3518
  booktitle =    {Euromicro DSD},
3519
  OPTcrossref =  {},
3520
  OPTkey =       {},
3521
  pages =        {161--164},
3522
  year =         {2005},
3523
  OPTeditor =    {},
3524
  OPTvolume =    {},
3525
  OPTnumber =    {},
3526
  OPTseries =    {},
3527
  OPTaddress =   {Porto, Portugal},
3528
  month =        {Aug.},
3529
  OPTorganization = {},
3530
  OPTpublisher = {},
3531
  OPTnote =      {},
3532
  OPTannote =    {}
3533
}
3534
 
3535
%Petri Kukkala, Mikko Setälä, Tero Arpinen, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen,
3536
%Implementing a WLAN Video Terminal Using UML and Fully-Automated Design Flow,
3537
%EURASIP Journal on Embedded Systems, January 10, 2007,
3538
%Issue Embedded Digital Signal Processing Systems” edited by Jarmo Henrik Takala, Shuvra Bhattacharyya, and Gang Qu., 15 pages.
3539
@Article{kukkala07,
3540
  author =       {Petri Kukkala and Mikko Set\"al\"a and Tero Arpinen and Erno Salminen and M. H\"annik\"ainen and T. H\"am\"al\"ainen},
3541
  title =        {Implementing a {WLAN} Video Terminal Using {UML} and Fully-Automated Design Flow},
3542
  journal =      {EURASIP Journal on Embedded Systems},
3543
  year =         {2007},
3544
  OPTkey =       {},
3545
  OPTvolume =    {},
3546
  number =       {Embedded Digital Signal Processing Systems},
3547
  OPTpages =     {},
3548
  month =        {Jan},
3549
  OPTnote =      {},
3550
  OPTannote =    {}
3551
}
3552
 
3553
 
3554
% Ari Kulmala, "Multiprocessor System with General-Purpose Interconnection Architecture on FPGA", Tampere, Finland, 2005, 73 pages, Tampere University of Technology.
3555
@MastersThesis{kulmala05,
3556
  author =       {Ari Kulmala},
3557
  title =        {Multiprocessor System with General-Purpose Interconnection Architecture on {FPGA}},
3558
  school =       {Tampere University of Technology},
3559
  year =         {2005},
3560
  OPTkey =       {},
3561
  OPTtype =      {},
3562
  OPTaddress =   {},
3563
  OPTmonth =     {},
3564
  OPTnote =      {},
3565
  OPTannote =    {}
3566
}
3567
 
3568
%Ari Kulmala, Olli Lehtoranta, Timo D. Hämäläinen, Marko Hännikäinen,
3569
%"Scalable MPEG-4 Encoder on FPGA Multiprocessor SoC",
3570
%EURASIP Journal on Embedded Systems, June 27, 2006, Vol.2006, Issue Field-Programmable Gate Arrays in Embedded Systems,
3571
%15 pages, Hindawi Publishing Corporation.
3572
@Article{kulmala06a,
3573
  author =       {Ari Kulmala and Olli Lehtoranta and Timo D. H\"am\"al\"ainen and Marko H\"annik\"ainen},
3574
  title =        {Scalable {MPEG-4} Encoder on {FPGA} Multiprocessor {SoC}},
3575
  journal =      {EURASIP Journal on Embedded Systems},
3576
  year =         {2006},
3577
  OPTkey =       {},
3578
  OPTvolume =    {2006},
3579
  number =       {Field-Programmable Gate Arrays in Embedded Systems},
3580
  OPTpages =     {15},
3581
  month =        {Jun.},
3582
  OPTnote =      {},
3583
  OPTannote =    {}
3584
}
3585
 
3586
 
3587
 
3588
%Ari Kulmala, Timo D. Hämäläinen, Marko Hännikäinen,
3589
%Comparison of GALS and Synchronous Architectures with MPEG-4 Video Encoder on Multiprocessor System-on-Chip FPGA",
3590
%9th Euromicro Conference on Digital System Design, Cavtat, Croatia, August 30, 2006 - September 1, 2006, pp. 83-86.
3591
@InProceedings{kulmala06b,
3592
  author =       {Ari Kulmala and Timo D. H\"am\"al\"ainen and Marko H\"annik\"ainen},
3593
  title =        {Comparison of {GALS} and Synchronous Architectures with {MPEG-4} Video Encoder on Multiprocessor System-on-Chip {FPGA}},
3594
  booktitle =    {Euromicro DSD},
3595
  OPTcrossref =  {},
3596
  OPTkey =       {},
3597
  pages =        {83--86},
3598
  year =         {2006},
3599
  OPTeditor =    {},
3600
  OPTvolume =    {},
3601
  OPTnumber =    {},
3602
  OPTseries =    {},
3603
  OPTaddress =   {},
3604
  month =        {Sep.},
3605
  OPTorganization = {},
3606
  OPTpublisher = {},
3607
  OPTnote =      {},
3608
  OPTannote =    {}
3609
}
3610
 
3611
%Ari Kulmala, Erno Salminen, Timo D. Hämäläinen,
3612
%Distributed Bus Arbitration Algorithm Comparison on FPGA Based MPEG-4 Multiprocessor SoC",
3613
%Norchip 2006, Linköping, Sweden, November 20-21, 2006, pp. 167-170
3614
@InProceedings{kulmala06c,
3615
  author =       {Ari Kulmala and Erno Salminen and Marko H\"annik\"ainen and Timo D. H\"am\"al\"ainen},
3616
  title =        {Distributed Bus Arbitration Algorithm Comparison on {FPGA} Based {MPEG-4} Multiprocessor {SoC}},
3617
  booktitle =    {Norchip},
3618
  OPTcrossref =  {},
3619
  OPTkey =       {},
3620
  pages =        {167--170},
3621
  year =         {2006},
3622
  OPTeditor =    {},
3623
  OPTvolume =    {},
3624
  OPTnumber =    {},
3625
  OPTseries =    {},
3626
  OPTaddress =   {Link\"oping, Sweden},
3627
  month =        {Nov.},
3628
  OPTorganization = {},
3629
  OPTpublisher = {},
3630
  OPTnote =      {},
3631
  OPTannote =    {}
3632
}
3633
 
3634
%Ari Kulmala, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen,
3635
%Evaluating SoC Network Performance in MPEG-4 Encoder,
3636
%SIPS, Oct. 2006, pp. 261--267.
3637
@InProceedings{kulmala06d,
3638
  author =       {Ari Kulmala and Erno Salminen and Timo D. H\"am\"al\"ainen},
3639
  title =        {Evaluating {SoC} Network Performance in {MPEG-4} Encoder},
3640
  booktitle =    {SIPS},
3641
  OPTcrossref =  {},
3642
  OPTkey =       {},
3643
  pages =        {271--276},
3644
  year =         {2006},
3645
  OPTeditor =    {},
3646
  OPTvolume =    {},
3647
  OPTnumber =    {},
3648
  OPTseries =    {},
3649
  OPTaddress =   {Banff, Canada},
3650
  month =        {Oct.},
3651
  OPTorganization = {},
3652
  OPTpublisher = {},
3653
  OPTnote =      {},
3654
  OPTannote =    {}
3655
}
3656
 
3657
 
3658
 
3659
%Ari Kulmala, Timo D. Hämäläinen, Marko Hännikäinen, "
3660
%Reliable GALS Implementation of MPEG-4 Encoder with Mixed Clock FIFO on Standard FPGA",
3661
%16th International Conference on Field Programmable Logic and Applications (FPL 2006),
3662
%Madrid, Spain, August 28-30, 2006, pp. 495-500, IEEE.
3663
@InProceedings{kulmala06e,
3664
  author =       {Ari Kulmala and Marko H\"annik\"ainen and Timo D. H\"am\"al\"ainen},
3665
  title =        {Reliable {GALS} Implementation of {MPEG-4} Encoder with Mixed Clock {FIFO} on Standard {FPGA}},
3666
  booktitle =    {FPL},
3667
  OPTcrossref =  {},
3668
  OPTkey =       {},
3669
  pages =        {495--500},
3670
  OPTyear =      {},
3671
  OPTeditor =    {},
3672
  OPTvolume =    {},
3673
  OPTnumber =    {},
3674
  OPTseries =    {},
3675
  OPTaddress =   {Madrid, Spain},
3676
  month =        {Aug.},
3677
  OPTorganization = {},
3678
  OPTpublisher = {},
3679
  OPTnote =      {},
3680
  OPTannote =    {}
3681
}
3682
 
3683
%Ari Kulmala, Erno Salminen, Timo D. Hämäläinen,
3684
%Prototyping and Evaluating Large System-on-Chips on Multi-FPGA Platform
3685
%SAMOS VII, Jul. 2007, pp. 179-189.
3686
@InProceedings{kulmala07,
3687
  author =       {Ari Kulmala and Erno Salminen and Timo D. H\"am\"al\"ainen},
3688
  title =        {Prototyping and Evaluating Large System-on-Chips on {Multi-FPGA} Platform},
3689
  booktitle =    {SAMOS VII},
3690
  OPTcrossref =  {},
3691
  OPTkey =       {},
3692
  pages =        {179-189},
3693
  year =         {2007},
3694
  OPTeditor =    {},
3695
  OPTvolume =    {},
3696
  OPTnumber =    {},
3697
  OPTseries =    {},
3698
  OPTaddress =   {Samos, Greece},
3699
  month =        {Jul.},
3700
  OPTorganization = {},
3701
  OPTpublisher = {},
3702
  OPTnote =      {},
3703
  OPTannote =    {}
3704
}
3705
 
3706
 
3707
 
3708
% Ari Kulmala, Erno Salminen, Marko Hännikäinen, Timo D. Hämäläinen,
3709
%"Evaluating SoC Network Performance in MPEG-4 Encoder",
3710
%The Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, May 15-15, 2007, 19 pages, Springer US.
3711
@Article{kulmala08a,
3712
  author =       {Ari Kulmala and Erno Salminen and Timo D. H\"am\"al\"ainen},
3713
  title =        {Evaluating {SoC} Network Performance in {MPEG-4} Encoder},
3714
  journal =      {Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology},
3715
  year =         {2008},
3716
  OPTkey =       {},
3717
  OPTvolume =    {},
3718
  OPTnumber =    {},
3719
  pages =        {},
3720
  month =        {May},
3721
  OPTnote =      {},
3722
  OPTannote =    {SIPS-laajennos}
3723
}
3724
 
3725
 
3726
%Ari Kulmala, Erno Salminen, Timo D. Hämäläinen,
3727
%Distributed Bus Arbitration Algorithm Comparison on FPGA Based MPEG-4 Multiprocessor SoC
3728
%IET Computers and Digital Techniques, May 11-11, 2007, IET, Submitted.
3729
@Article{kulmala08b,
3730
  author =       {Ari Kulmala and Erno Salminen and Timo D. H\"am\"al\"ainen},
3731
  title =        {Distributed Bus Arbitration Algorithm Comparison on {FPGA} Based {MPEG-4} Multiprocessor {SoC}},
3732
  journal =      {IET Computers and Digital Techniques},
3733
  year =         {2008},
3734
  OPTkey =       {},
3735
  volume =       {2},
3736
  number =       {4},
3737
  pages =        {314--325},
3738
  month =        {Jul.},
3739
  OPTnote =      {},
3740
  OPTannote =    {Norchip-laajennos}
3741
}
3742
 
3743
%Shashi Kumar, Axel Jantsch, Juha-Pekka Soininen, Martti Forsell, Mikael Millberg, Johnny Öberg, Kari Tiensyrjä, and Ahmed Hemani.
3744
%A network on chip architecture and design methodology.
3745
%In Proceedings of IEEE Computer Society Annual Symposium on VLSI, April 2002., pp.105-112
3746
@InProceedings{kumar02,
3747
  author =       {Shashi Kumar and Axel Jantsch and Juha-Pekka Soininen and Martti Forsell and Mikael Millberg and Johnny \"Oberg and Kari Tiensyrj\"a and Ahmed Hemani},
3748
  title =        {A network on chip architecture and design methodology},
3749
  booktitle =    {VLSI},
3750
  OPTcrossref =  {},
3751
  OPTkey =       {},
3752
  pages =        {105--112},
3753
  year =         {2002},
3754
  OPTeditor =    {},
3755
  OPTvolume =    {},
3756
  OPTnumber =    {},
3757
  OPTseries =    {},
3758
  OPTaddress =   {},
3759
  month =        {April},
3760
  OPTorganization = {},
3761
  OPTpublisher = {},
3762
  OPTnote =      {},
3763
  OPTannote =    {}
3764
}
3765
 
3766
%\bibitem {kuusilinna98} Kuusilinna, K., \emph{et al.}: Low-Latency Interconnection for
3767
%  IP-Block Based Multimedia Chips. In proc. PDCN (1998) 411-416
3768
@InProceedings{kuusilinna98,
3769
  author =       {K. Kuusilinna and Timo H\"am\"al\"ainen and Pasi Liimatainen and Jukka Saarinen},
3770
  title =        {Low-Latency interconnection for {IP}-Block Based Multimedia Chips},
3771
  booktitle =    {PDCN},
3772
  OPTcrossref =  {},
3773
  OPTkey =       {},
3774
  pages =        {411--416},
3775
  year =         {1998},
3776
  OPTeditor =    {},
3777
  OPTvolume =    {},
3778
  OPTnumber =    {},
3779
  OPTseries =    {},
3780
  OPTaddress =   {Brisbane, Australia},
3781
  month =        {Dec.},
3782
  OPTorganization = {},
3783
  OPTpublisher = {},
3784
  OPTnote =      {},
3785
  OPTannote =    {}
3786
}
3787
 
3788
%Kuon, I.; Rose, J.;
3789
%Measuring the Gap Between FPGAs and ASICs
3790
%Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on
3791
%Volume 26,  Issue 2,  Feb. 2007 Page(s):203 - 215
3792
%Digital Object Identifier 10.1109/TCAD.2006.884574
3793
@Article{kuon07,
3794
  author =       {I Kuon and J. Rose},
3795
  title =        {Measuring the Gap Between {FPGA}s and {ASICs}},
3796
  journal =      t-cadics,
3797
  year =         {2007},
3798
  OPTkey =       {},
3799
  volume =       {26},
3800
  number =       {2},
3801
  pages =        {203--215},
3802
  month =        {Feb.},
3803
  OPTnote =      {},
3804
  OPTannote =    {}
3805
}
3806
 
3807
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
3808
%%
3809
%% LLL
3810
%%
3811
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
3812
 
3813
 
3814
 
3815
%\bibitem {lahiri01} Lahiri, K. et al.:
3816
%Evaluation of the traffic-performance characteristics of system-on-chip communication architectures.
3817
%In Proc. of Conference on VLSI Design (2001) 29-35
3818
@InProceedings{lahiri01,
3819
  author =       {Kanishka Lahiri and Anand Raghunathan and Sujit Dey},
3820
  title =        {Evaluation of the traffic-performance characteristics of system-on-chip communication architectures},
3821
  booktitle =    {VLSI},
3822
  OPTcrossref =  {},
3823
  OPTkey =       {},
3824
  pages =        {29--35},
3825
  year =         {2001},
3826
  OPTeditor =    {},
3827
  OPTvolume =    {},
3828
  OPTnumber =    {},
3829
  OPTseries =    {},
3830
  OPTaddress =   {Bangalore, India},
3831
  month =        {Jan.},
3832
  OPTorganization = {},
3833
  OPTpublisher = {},
3834
  OPTnote =      {},
3835
  OPTannote =    {}
3836
}
3837
 
3838
%Design space exploration for optimizing on-chip communication architectures,
3839
%K. Lahiri, A. Raghunathan, S. Dey,
3840
%IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,
3841
%Vol. 23 , Iss. 6, June 2004, pp. 952 - 961.
3842
@Article{lahiri04,
3843
  author =       {Kanishka Lahiri and Anand Raghunathan and Sujit Dey},
3844
  title =        {Design space exploration for optimizing on-chip communication architectures},
3845
  journal =      t-cadics,
3846
  year =         {2004},
3847
  OPTkey =       {},
3848
  volume =       {23},
3849
  number =       {6},
3850
  pages =        {952-961},
3851
  month =        {Jun.},
3852
  OPTnote =      {},
3853
  OPTannote =    {}
3854
}
3855
 
3856
 
3857
%\bibitem {lahtinen02} Lahtinen. V., \emph{et al.}: Interconnection scheme for
3858
%  continuous-media systems-on-chip. Microprocessors and Microsystems
3859
%  vol. 26, issue 3 (2002) 123-138
3860
@Article{lahtinen02,
3861
  author =       {Vesa Lahtinen and Kimmo Kuusilinna and Tero Kangas and Timo H\"am\"al\"ainen},
3862
  title =        {Interconnection scheme for continuous-media systems-on-chip},
3863
  journal =      {Microprocessors and Microsystems},
3864
  year =         {2002},
3865
  OPTkey =       {},
3866
  volume =       {26},
3867
  number =       {3},
3868
  pages =        {123--138},
3869
  month =        {Apr.},
3870
  OPTnote =      {},
3871
  OPTannote =    {}
3872
}
3873
 
3874
 
3875
 
3876
 
3877
@InProceedings{lahtinen03,
3878
  author =       {Vesa Lahtinen and Erno Salminen and Kimmo Kuusilinna and Timo H\"am\"al\"ainen},
3879
  title =        {Comparison of Synthesized Bus and Crossbar Interconnection Architectures},
3880
  booktitle =    {ISCAS},
3881
  OPTcrossref =  {},
3882
  OPTkey =       {},
3883
  pages =        {433--436},
3884
  year =         {2003},
3885
  OPTeditor =    {},
3886
  volume =       {5},
3887
  OPTnumber =    {},
3888
  OPTseries =    {},
3889
  OPTaddress =   {},
3890
  month =        {May},
3891
  OPTorganization = {},
3892
  OPTpublisher = {},
3893
  OPTnote =      {},
3894
  OPTannote =    {}
3895
}
3896
 
3897
 
3898
% Vesa Lahtinen, Erno Salminen, Kimmo Kuusilinna, Timo D. Hämäläinen, Tero Kangas,
3899
% Reducing SoC Power Consumption with Generic Interconnection Components and TDMA-based Arbitration,
3900
% IEEE International Workshop on Design and Diagnostics of Electronics Circuits and Systems (DDECS 2003), Poznan, Poland, April 14-16, 2003, pp. 261-268.
3901
@InProceedings{lahtinen03b,
3902
  author =       {Vesa Lahtinen and Tero Kangas and Erno Salminen and Kimmo Kuusilinna and Timo D. H\"am\"al\"ainen},
3903
  title =        {Reducing {SoC} Power Consumption with Generic Interconnection Components and {TDMA-based} Arbitration},
3904
  booktitle =    {DDECS},
3905
  OPTcrossref =  {},
3906
  OPTkey =       {},
3907
  pages =        {261--268},
3908
  year =         {2003},
3909
  OPTeditor =    {},
3910
  OPTvolume =    {},
3911
  OPTnumber =    {},
3912
  OPTseries =    {},
3913
  OPTaddress =   {Poznan, Poland},
3914
  month =        {Apr.},
3915
  OPTorganization = {},
3916
  OPTpublisher = {},
3917
  OPTnote =      {},
3918
  OPTannote =    {}
3919
}
3920
 
3921
@PhdThesis{lahtinen04,
3922
  author =       {Vesa Lahtinen},
3923
  title =        {Design and Analysis of Interconnection Architectures},
3924
  school =       {Tampere University of Technology},
3925
  year =         {2004},
3926
  OPTkey =       {},
3927
  OPTtype =      {},
3928
  OPTaddress =   {Tampere, Finland},
3929
  month =        {Jun.},
3930
  OPTnote =      {},
3931
  OPTannote =    {}
3932
}
3933
 
3934
 
3935
 
3936
% Power breakdown analysis for a heterogeneous NoC platform running a video application
3937
%Lambrechts, A.   Raghavan, P.   Leroy, A.   Talavera, G.   Aa, T.V.   Jayapala, M.   Catthoor, F.   Verkest, D.   Deconinck, G.   Corporaal, H.   Robert, F.   Carrabina, J.
3938
%Application-Specific Systems, Architecture Processors, 2005. ASAP 2005. 16th IEEE International Conference on,
3939
%Publication Date: 23-25 July 2005, On page(s): 179- 184
3940
@InProceedings{lambrechts05,
3941
  author =       {A. Lambrechts  and others},
3942
  title =        {Power breakdown analysis for a heterogeneous {NoC} platform running a video application},
3943
  booktitle =    {ASAP},
3944
  OPTcrossref =  {},
3945
  OPTkey =       {},
3946
  pages =        {179--184},
3947
  year =         {2005},
3948
  OPTeditor =    {},
3949
  OPTvolume =    {},
3950
  OPTnumber =    {},
3951
  OPTseries =    {},
3952
  OPTaddress =   {},
3953
  month =        {Jul.},
3954
  OPTorganization = {},
3955
  OPTpublisher = {},
3956
  OPTnote =      {},
3957
  OPTannote =    {}
3958
}
3959
 
3960
%A Reconfigurable Baseband Platform Based on an Asynchronous Network-on-Chip
3961
%Lattard, D.; Beigne, E.; Clermidy, F.; Durand, Y.; Lemaire, R.; Vivet, P.; Berens, F.;
3962
%Solid-State Circuits, IEEE Journal of
3963
%Volume 43,  Issue 1,  Jan. 2008 Page(s):223 - 235
3964
%Digital Object Identifier 10.1109/JSSC.2007.909339
3965
@Article{lattard08,
3966
  author =       {D. Lattard and E. Beigne and F. Clermidy and  Y. Durand and R. Lemaire and  P. Vivet and F. Berens},
3967
  title =        {A Reconfigurable Baseband Platform Based on an Asynchronous Network-on-Chip},
3968
  journal =      j-ssc,
3969
  year =         {2008},
3970
  OPTkey =       {},
3971
  volume =       {43},
3972
  number =       {1},
3973
  pages =        {223--235},
3974
  month =        {Jan.},
3975
  OPTnote =      {},
3976
  OPTannote =    {}
3977
}
3978
 
3979
 
3980
%H. G. Lee, U. Y. Ogras, R. Marculescu, N. Chang,
3981
%Design Space Exploration and Prototyping for On-chip Multimedia Applications,
3982
%Design Automation Conference, 2006 43rd ACM/IEEE
3983
%24-28 July 2006 Page(s):137 - 142
3984
@InProceedings{lee_hg06,
3985
  author =       {H. G. Lee and U. Y. Ogras and R. Marculescu and N. Chang},
3986
  title =        {Design Space Exploration and Prototyping for On-chip Multimedia Applications},
3987
  booktitle =    {DAC},
3988
  OPTcrossref =  {},
3989
  OPTkey =       {},
3990
  pages =        {137--142},
3991
  year =         {2006},
3992
  OPTeditor =    {},
3993
  OPTvolume =    {},
3994
  OPTnumber =    {},
3995
  OPTseries =    {},
3996
  OPTaddress =   {},
3997
  month =        {Jul.},
3998
  OPTorganization = {},
3999
  OPTpublisher = {},
4000
  OPTnote =      {},
4001
  OPTannote =    {}
4002
}
4003
 
4004
 
4005
 
4006
%Kangmin Lee; Se-Joong Lee; Sung-Eun Kim; Hye-Mi Choi; Donghyun Kim; Sunyoung Kim; Min-Wuk Lee; Hoi-Jun Yoo;
4007
%A 51mW 1.6GHz on-chip network for low-power heterogeneous SoC platform
4008
%Solid-State Circuits Conference, 2004. Digest of Technical Papers. ISSCC. 2004 IEEE International , 15-19 Feb. 2004
4009
%Pages:152 - 518 Vol.1
4010
@InProceedings{lee_k04,
4011
  author =       {Kangmin Lee and Se-Joong Lee and Sung-Eun Kim and Hye-Mi Choi and Donghyun Kim and Sunyoung Kim and Min-Wuk Lee and Hoi-Jun Yoo},
4012
  title =        {A {51mW} {1.6GHz} on-chip network for low-power heterogeneous {SoC} platform},
4013
  booktitle =    {ISSCC},
4014
  OPTcrossref =  {},
4015
  OPTkey =       {},
4016
  pages =        {152--158},
4017
  year =         {2004},
4018
  OPTeditor =    {},
4019
  OPTvolume =    {1},
4020
  OPTnumber =    {},
4021
  OPTseries =    {},
4022
  OPTaddress =   {},
4023
  month =        {Feb.},
4024
  OPTorganization = {},
4025
  OPTpublisher = {},
4026
  OPTnote =      {},
4027
  OPTannote =    {}
4028
}
4029
 
4030
%Kangmin Lee, Se-Joong Lee, Hoi-Jun Yoo,
4031
%Low-Power Network-on-Chip for High-Performance SoC Design,
4032
%IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, no. 2, pp. 148-160, Feb 2006.
4033
@Article{lee_k06,
4034
  author =       {Kangmin Lee and Se-Joong Lee and Hoi-Jun Yoo},
4035
  title =        {Low-Power Network-on-Chip for High-Performance {SoC} Design},
4036
  journal =      t-vlsi,
4037
  year =         {2006},
4038
  OPTkey =       {},
4039
  volume =       {14},
4040
  number =       {2},
4041
  pages =        {148--160},
4042
  month =        {Feb.},
4043
  OPTnote =      {},
4044
  OPTannote =    {}
4045
}
4046
 
4047
 
4048
%Kangmin Lee, Se-Joong Lee, Donghyun Kim, Kwanho Kim, Gawon Kim, Joungho Kim, Hoi-Jun Yoo,
4049
%Networks-on-Chip and Networks-in-Package for High-Performance SoC Platforms,
4050
%IEEE Asian Solid Stated Circuits Conference (A-SSCC - Design Contest), Nov. 2005.
4051
@InProceedings{lee_k05b,
4052
  author =       {Kangmin Lee and Se-Joong Lee and Donghyun Kim and Kwanho Kim and Gawon Kim and Joungho Kim and Hoi-Jun Yoo},
4053
  title =        {Networks-on-Chip and Networks-in-Package for High-Performance {SoC} Platforms},
4054
  booktitle =    {A-SSCC},
4055
  OPTcrossref =  {},
4056
  OPTkey =       {},
4057
  OPTpages =     {!!!},
4058
  year =         {2005},
4059
  OPTeditor =    {},
4060
  OPTvolume =    {},
4061
  OPTnumber =    {},
4062
  OPTseries =    {},
4063
  OPTaddress =   {},
4064
  month =        {Nov.},
4065
  OPTorganization = {},
4066
  OPTpublisher = {},
4067
  OPTnote =      {},
4068
  OPTannote =    {}
4069
}
4070
 
4071
%Sanghun Lee; Chanho Lee; Hyuk-Jae Lee,
4072
%A new multi-channel on-chip-bus architecture for system-on-chips
4073
%IEEE International SOC Conference, Santa Clara, CA, 12-15 Sept. 2004, pp. 305- 308
4074
@InProceedings{lee_s04,
4075
  author =       {Sanghun Lee and Chanho Lee and Hyuk-Jae Lee},
4076
  title =        {A new multi-channel on-chip-bus architecture for system-on-chips},
4077
  booktitle =    {SOCC},
4078
  OPTcrossref =  {},
4079
  OPTkey =       {},
4080
  pages =        {305--308},
4081
  year =         {2004},
4082
  OPTeditor =    {},
4083
  OPTvolume =    {},
4084
  OPTnumber =    {},
4085
  OPTseries =    {},
4086
  OPTaddress =   {},
4087
  month =        {Sep.},
4088
  OPTorganization = {},
4089
  OPTpublisher = {},
4090
  OPTnote =      {},
4091
  OPTannote =    {}
4092
}
4093
 
4094
 
4095
 
4096
%Se-Joong Lee, Kangmin Lee, Seong-Jun Song, Hoi-Jun Yoo,
4097
%Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications,
4098
%IEEE Transactions on Circuits and Systems II, vol. 52, no. 6, pp. 308-312, June 2005.
4099
@Article{lee_s05a,
4100
  author =       {Se-Joong Lee and Kangmin Lee and Seong-Jun Song and Hoi-Jun Yoo},
4101
  title =        {Packet-Switched On-Chip Interconnection Network for System-on-Chip Applications},
4102
  journal =      {IEEE Trans. Circuits and Systems II},
4103
  year =         {2005},
4104
  OPTkey =       {},
4105
  volume =       {52},
4106
  number =       {6},
4107
  pages =        {308--312},
4108
  month =        {Jun.},
4109
  OPTnote =      {},
4110
  OPTannote =    {}
4111
}
4112
 
4113
 
4114
%Se-Joong Lee, Kangmin Lee, Hoi-Jun Yoo,
4115
%Analysis and Implementation of Practical Cost-Effective Network-on-Chips,
4116
%IEEE Design & Test of Computers Magazine (Special Issue for NoC), Sept. 2005.
4117
@Article{lee_s05b,
4118
  author =       {Se-Joong Lee and Kangmin Lee and Hoi-Jun Yoo},
4119
  title =        {Analysis and Implementation of Practical Cost-Effective Network-on-Chips},
4120
  journal =      {IEEE Des. Test Comput.},
4121
  year =         {2005},
4122
  OPTkey =       {},
4123
  OPTvolume =    {},
4124
  OPTnumber =    {},
4125
  OPTpages =     {!!!},
4126
  month =        {Sep.},
4127
  OPTnote =      {},
4128
  OPTannote =    {}
4129
}
4130
 
4131
%Olli Lehtoranta, Erno Salminen, Ari Kulmala, Marko Hännikäinen, Timo D. Hämäläinen, "A Parallel MPEG-4 Encoder for FPGA Based Multiprocessor SoC", 15th International Conference on Field Programmable Logic and Applications (FPL 2005), Tampere, Finland, August 24-26, 2005
4132
@InProceedings{lehtoranta05,
4133
  author =       {Olli Lehtoranta and Erno Salminen and Ari Kulmala and Marko H\"annik\"ainen and Timo H\"am\"al\"ainen},
4134
  title =        {A Parallel {MPEG-4} Encoder for {FPGA} Based Multiprocessor {SoC}},
4135
  booktitle =    {FPL},
4136
  OPTcrossref =  {},
4137
  OPTkey =       {},
4138
  pages =        {380--385},
4139
  year =         {2005},
4140
  OPTeditor =    {},
4141
  OPTvolume =    {},
4142
  OPTnumber =    {},
4143
  OPTseries =    {},
4144
  OPTaddress =   {Tampere, Finland},
4145
  month =        {Aug.},
4146
  OPTorganization = {},
4147
  OPTpublisher = {},
4148
  OPTnote =      {},
4149
  OPTannote =    {}
4150
}
4151
 
4152
 
4153
 
4154
@Book{lenoski95,
4155
  author =       {Daniel E. Lenoski and Wolf-Dietrich Weber},
4156
  ALTeditor =    {},
4157
  title =        {Scalable Shared-memory Multiprocessing},
4158
  publisher =    {Morgan Kaufman Publishers Inc.},
4159
  year =         {1995},
4160
  OPTkey =       {},
4161
  OPTvolume =    {},
4162
  OPTnumber =    {},
4163
  OPTseries =    {},
4164
  OPTaddress =   {San Francisco, CA, USA},
4165
  OPTedition =   {},
4166
  OPTmonth =     {},
4167
  OPTnote =      {},
4168
  OPTannote =    {}
4169
}
4170
 
4171
%A. Leroy, P. Marchal, A. Shickova, F. Catthoor, F. Robert, D. Verkest,
4172
%Spatial division multiplexing: a novel approach for guaranteed throughput on NoCs,
4173
% CODES+ISSS’05, Sept. 19–21, 2005, Jersey City, New Jersey, USA, pp .81-86.
4174
@InProceedings{leroy05,
4175
  author =       {A. Leroy and P. Marchal and A. Shickova and F. Catthoor and F. Robert and D. Verkest},
4176
  title =        {Spatial division multiplexing: a novel approach for guaranteed throughput on {NoCs}},
4177
  booktitle =    {CODES+ISSS},
4178
  OPTcrossref =  {},
4179
  OPTkey =       {},
4180
  pages =        {81--86},
4181
  year =         {2005},
4182
  OPTeditor =    {},
4183
  OPTvolume =    {},
4184
  OPTnumber =    {},
4185
  OPTseries =    {},
4186
  OPTaddress =   {New Jersey, NJ, USA},
4187
  month =        {Sep.},
4188
  OPTorganization = {},
4189
  OPTpublisher = {},
4190
  OPTnote =      {},
4191
  OPTannote =    {}
4192
}
4193
 
4194
 
4195
%K.Y. Leung, K.H. Yeung,
4196
%The design and implementation of a WWW traffic generator,
4197
%Seventh International Conference on Parallel and Distributed Systems,
4198
%4-7 July 2000, pp. 509 - 514.
4199
@InProceedings{leung00,
4200
  author =       {K.Y. Leung and K.H. Yeung},
4201
  title =        {The design and implementation of a {WWW} traffic generator},
4202
  booktitle =    {ICPADS},
4203
  OPTcrossref =  {},
4204
  OPTkey =       {},
4205
  pages =        {509--514},
4206
  year =         {2000},
4207
  OPTeditor =    {},
4208
  OPTvolume =    {},
4209
  OPTnumber =    {},
4210
  OPTseries =    {},
4211
  OPTaddress =   {},
4212
  month =        {Jul.},
4213
  OPTorganization = {},
4214
  OPTpublisher = {},
4215
  OPTnote =      {},
4216
  OPTannote =    {}
4217
}
4218
 
4219
 
4220
 
4221
@InProceedings{leverich07,
4222
 author    = {Jacob Leverich and Hideho Arakida and Alex Solomatnikov and Amin Firoozshahian and Mark Horowitz and Christos Kozyrakis},
4223
 title     = {Comparing memory systems for chip multiprocessors},
4224
 booktitle = {ISCA},
4225
 OPTbooktitle = {ISCA '07: Proceedings of the 34th annual international symposium on Computer architecture},
4226
 year      = {2007},
4227
 OPTisbn      = {978-1-59593-706-3},
4228
 pages     = {358--368},
4229
 location  = {San Diego, California, USA},
4230
  month =        {Jun.},
4231
 OPTdoi       = {http://doi.acm.org/10.1145/1250662.1250707},
4232
 OPTpublisher = {ACM Press},
4233
 OPTaddress   = {New York, NY, USA},
4234
 }
4235
 
4236
%\bibitem {liang04} Jian Liang et al.:
4237
%An architecture and compiler for scalable on-chip communication.
4238
%In: TVLSI, Vol. 12, Iss. 7, (2004) 711-726
4239
@Article{liang04,
4240
  author =       {Jian Liang and Andrew Laffely and Sriram Srinivasan and Russell Tessier},
4241
  title =        {An architecture and compiler for scalable on-chip communication},
4242
  journal =      t-vlsi,
4243
  year =         {2004},
4244
  OPTkey =       {},
4245
  volume =       {12},
4246
  number =       {7},
4247
  pages =        {711--726},
4248
  month =        {Jul.},
4249
  OPTnote =      {},
4250
  OPTannote =    {}
4251
}
4252
 
4253
 
4254
% P. Liljeberg, J. Plosila, J. Isoaho,
4255
%Self-timed ring architecture for SOC applications,
4256
%IEEE International SOC [Systems-on-Chip] Conference, 17-20 Sept. 2003, pp. 359- 362
4257
@InProceedings{liljeberg03,
4258
  author =       {Pasi Liljeberg and Juha Plosila and Jouni Isoaho},
4259
  title =        {Self-timed ring architecture for {SOC} applications},
4260
  booktitle =    {SOCC},
4261
  OPTcrossref =  {},
4262
  OPTkey =       {},
4263
  pages =        {359--362},
4264
  year =         {2003},
4265
  OPTeditor =    {},
4266
  OPTvolume =    {},
4267
  OPTnumber =    {},
4268
  OPTseries =    {},
4269
  OPTaddress =   {},
4270
  month =        {Sep.},
4271
  OPTorganization = {},
4272
  OPTpublisher = {},
4273
  OPTnote =      {},
4274
  OPTannote =    {}
4275
}
4276
 
4277
%\bibitem {lines04} Lines, A.,
4278
%Asynchronous interconnect for synchronous SoC design.
4279
%In: Micro, Vol. 24,  Iss. 1, (2004) 32-41
4280
@Article{lines04,
4281
  author =       {Andrew Lines},
4282
  title =        {Asynchronous interconnect for synchronous {SoC} design},
4283
  journal =      {IEEE Micro},
4284
  year =         {2004},
4285
  OPTkey =       {},
4286
  volume =       {24},
4287
  number =       {1},
4288
  pages =        {32--41},
4289
  OPTmonth =     {},
4290
  OPTnote =      {},
4291
  OPTannote =    {}
4292
}
4293
 
4294
 
4295
 
4296
%  Jian Liu , Li-Rong Zheng and Hannu Tenhunen,
4297
% Interconnect intellectual property for Network-on-Chip (NoC) ,
4298
%Journal of Systems Architecture, Vol. 50, Iss. 2-3, February 2004, pp. 65-79.
4299
@Article{liu04,
4300
  author =       {Jian Liu and Li-Rong Zheng and Hannu Tenhunen},
4301
  title =        {Interconnect intellectual property for Network-on-Chip {(NoC)}},
4302
  journal =      {Journal of Systems Architecture},
4303
  year =         {2004},
4304
  OPTkey =       {},
4305
  volume =       {50},
4306
  number =       {2-3},
4307
  pages =        {65--79},
4308
  month =        {Feb.},
4309
  OPTnote =      {},
4310
  OPTannote =    {}
4311
}
4312
 
4313
 
4314
 
4315
%Loghi, M.   Angiolini, F.   Bertozzi, D.   Benini, L.   Zafalon, R.
4316
%Analyzing on-chip communication in a MPSoC environment
4317
%This paper appears in: Design, Automation and Test in Europe Conference and Exhibition, 2004. Proceedings
4318
%Publication Date: Feb. 16-20, 2004
4319
%On page(s): 752- 757
4320
%Volume: 2,   ISSN: 1530-1591
4321
@InProceedings{loghi04,
4322
  author =       {M. Loghi and F. Angiolini and D. Bertozzi and L. Benini and R. Zafalon},
4323
  title =        {Analyzing on-chip communication in a {MPSoC} environment},
4324
  booktitle =    {DATE},
4325
  OPTcrossref =  {},
4326
  OPTkey =       {},
4327
  pages =        {752--757},
4328
  year =         {2004},
4329
  OPTeditor =    {},
4330
  OPTvolume =    {},
4331
  OPTnumber =    {},
4332
  OPTseries =    {},
4333
  OPTaddress =   {},
4334
  month =        {Feb.},
4335
  OPTorganization = {},
4336
  OPTpublisher = {},
4337
  OPTnote =      {},
4338
  OPTannote =    {}
4339
}
4340
 
4341
%Samba-bus: a high performance bus architecture for system-on-chips
4342
%Ruibing Lu; Cheng-Kok Koh;
4343
%Computer Aided Design, 2003 International Conference on. ICCAD-2003 , Nov. 9-13, 2003
4344
%Pages:8 - 12
4345
@InProceedings{lu03,
4346
  author =       {Ruibing Lu and Cheng-Kok Koh},
4347
  title =        {Samba-bus: a high performance bus architecture for system-on-chips},
4348
  booktitle =    {ICCAD},
4349
  OPTcrossref =  {},
4350
  OPTkey =       {},
4351
  pages =        {8--12},
4352
  year =         {2003},
4353
  OPTeditor =    {},
4354
  OPTvolume =    {},
4355
  OPTnumber =    {},
4356
  OPTseries =    {},
4357
  OPTaddress =   {},
4358
  month =        {Nov.},
4359
  OPTorganization = {},
4360
  OPTpublisher = {},
4361
  OPTnote =      {},
4362
  OPTannote =    {}
4363
}
4364
 
4365
 
4366
 
4367
%Zhonghai Lu, Mingchen Zhong, and Axel Jantsch.
4368
%Evaluation of onchip networks using deflection routing.
4369
%In Proceedings of the 16th Great Lakes Symposium on VLSI (GLSVLSI'06), Philadephia, USA, May 2006.
4370
@InProceedings{lu06,
4371
  author =       {Zhonghai Lu and Mingchen Zhong and Axel Jantsch},
4372
  title =        {Evaluation of on-chip networks using deflection routing},
4373
  booktitle =    {GLSVLSI},
4374
  OPTcrossref =  {},
4375
  OPTkey =       {},
4376
  pages =        {296--301},
4377
  year =         {2006},
4378
  OPTeditor =    {},
4379
  OPTvolume =    {},
4380
  OPTnumber =    {},
4381
  OPTseries =    {},
4382
  OPTaddress =   {Philadelphia, PA, USA},
4383
  month =        {May},
4384
  OPTorganization = {},
4385
  OPTpublisher = {},
4386
  OPTnote =      {},
4387
  OPTannote =    {}
4388
}
4389
 
4390
 
4391
 
4392
 
4393
 
4394
@Manual{lu08,
4395
  title =        {Network-on-Chip Benchmarking Specification Part 2: Micro-Benchmark Specification Version 1.0},
4396
  OPTkey =       {},
4397
  author =       {Zhonghai Lu and Axel Jantsch and Erno Salminen and Cristian Grecu},
4398
  organization = {OCP-IP},
4399
  OPTaddress =   {},
4400
  OPTedition =   {},
4401
  month =        {May},
4402
  year =         {2008},
4403
  OPTnote =      {},
4404
  OPTannote =    {}
4405
}
4406
 
4407
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
4408
%%
4409
%% MMM
4410
%%
4411
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
4412
 
4413
%S. Mahadevan et al.,
4414
%Network traffic generator model for fast network-on-chip simulation,
4415
%DATE, 7-11 Mar. 2005, Vol. 2, pp. 780-785.
4416
@InProceedings{mahadevan05,
4417
  author =       {Shankar Mahadevan and Federico Angiolini and Michael Storgaard and Rasmus Grøndahl Olsen and Jens Sparsø and Jan Madsen},
4418
  title =        {Network traffic generator model for fast network-on-chip simulation},
4419
  booktitle =    {DATE},
4420
  OPTcrossref =  {},
4421
  OPTkey =       {},
4422
  pages =        {780--785},
4423
  year =         {2005},
4424
  OPTeditor =    {},
4425
  volume =       {2},
4426
  OPTnumber =    {},
4427
  OPTseries =    {},
4428
  OPTaddress =   {},
4429
  month =        {Mar.},
4430
  OPTorganization = {},
4431
  OPTpublisher = {},
4432
  OPTnote =      {},
4433
  OPTannote =    {}
4434
}
4435
 
4436
 
4437
%E. Malley, A. Salinas, K. Ismail, L. Pileggi, L.
4438
%Power comparison of throughput optimized IC busses,
4439
%VLSI, 2003. Proceedings. IEEE Computer Society Annual Symposium on , 20-21 Feb. 2003, pp. 35 -44.
4440
@InProceedings{malley03,
4441
  author =       {E. Malley and A. Salinas and K. Ismail and L. Pileggi},
4442
  title =        {Power comparison of throughput optimized {IC} busses},
4443
  booktitle =    {VLSI},
4444
  OPTcrossref =  {},
4445
  OPTkey =       {},
4446
  pages =        {35--44},
4447
  year =         {2003},
4448
  OPTeditor =    {},
4449
  OPTvolume =    {},
4450
  OPTnumber =    {},
4451
  OPTseries =    {},
4452
  OPTaddress =   {},
4453
  OPTmonth =     {},
4454
  OPTorganization = {},
4455
  OPTpublisher = {},
4456
  OPTnote =      {},
4457
  OPTannote =    {}
4458
}
4459
 
4460
%Manegold, S.; Boncz, P.; Kersten, M.;, Optimizing main-memory join on modern hardware
4461
%Knowledge and Data Engineering, IEEE Transactions on
4462
%Volume 14,  Issue 4,  July-Aug. 2002 Page(s):709 - 730
4463
@Article{manegold02,
4464
  author =       {S. Manegold and  P. Boncz and  M. Kersten},
4465
  title =        {Optimizing main-memory join on modern hardware},
4466
  journal =      {IEEE Trans. Knowledge and Data Engineering},
4467
  year =         {2002},
4468
  OPTkey =       {},
4469
  volume =       {14},
4470
  number =       {4},
4471
  pages =        {709--730},
4472
  month =        {Jul.-Aug.},
4473
  OPTnote =      {},
4474
  OPTannote =    {}
4475
}
4476
 
4477
 
4478
%The Impact of Higher Communication Layers on NoC Supported MP-SoCs
4479
%Marescaux, T.; Brockmeyer , E.; Corporaal, H.;
4480
%Networks-on-Chip, 2007. NOCS 2007. First International Symposium on
4481
%7-9 May 2007 Page(s):107 - 116
4482
 
4483
 
4484
@InProceedings{marescaux07,
4485
  author =       {T. Marescaux and  E. Brockmeyer and H. Corporaal},
4486
  title =        {The Impact of Higher Communication Layers on {NoC} Supported {MP-SoCs}},
4487
  booktitle =    {NOCS},
4488
  OPTcrossref =  {},
4489
  OPTkey =       {},
4490
  pages =        {107--116},
4491
  year =         {2007},
4492
  OPTeditor =    {},
4493
  OPTvolume =    {},
4494
  OPTnumber =    {},
4495
  OPTseries =    {},
4496
  OPTaddress =   {},
4497
  month =        {May},
4498
  OPTorganization = {},
4499
  OPTpublisher = {},
4500
  OPTnote =      {},
4501
  OPTannote =    {}
4502
}
4503
 
4504
%E.J. Marinissen, V. Iyengar, K. Chakrabarty,
4505
%A set of benchmarks for modular testing of SOCs,
4506
%International Test Conference, 7-10 Oct. 2002, pp. 519 - 528.
4507
@InProceedings{marinissen02,
4508
  author =       {E.J. Marinissen and V. Iyengar and K. Chakrabarty},
4509
  title =        {A set of benchmarks for modular testing of {SOCs}},
4510
  booktitle =    {ITC},
4511
  OPTcrossref =  {},
4512
  OPTkey =       {},
4513
  pages =        {519--528},
4514
  year =         {2002},
4515
  OPTeditor =    {},
4516
  OPTvolume =    {},
4517
  OPTnumber =    {},
4518
  OPTseries =    {},
4519
  OPTaddress =   {},
4520
  month =        {Oct.},
4521
  OPTorganization = {},
4522
  OPTpublisher = {},
4523
  OPTnote =      {},
4524
  OPTannote =    {}
4525
}
4526
 
4527
@Misc{mello04,
4528
  OPTkey =       {},
4529
  author =       {Aline Vieira de Mello and Leandro Heleno M\"oller},
4530
  title =        {Hermes project web page},
4531
  howpublished = {[online], http://toledo.inf.pucrs.br/~gaph/Projects/Hermes/Hermes.html},
4532
  OPTmonth =     {},
4533
  year =         {2004},
4534
  OPTnote =      {},
4535
  OPTannote =    {}
4536
}
4537
 
4538
@InProceedings{mello06,
4539
  author =       {Alinen Mello and Leonel Tedesco and Ney Calazans and Fernando Moraes},
4540
  title =        {Evaluation of current {QoS} Mechanisms in Network on Chip},
4541
  booktitle =    tresoc,
4542
  OPTcrossref =  {},
4543
  OPTkey =       {},
4544
  pages =        {115--118},
4545
  year =         {2006},
4546
  OPTeditor =    {},
4547
  OPTvolume =    {},
4548
  OPTnumber =    {},
4549
  OPTseries =    {},
4550
  OPTaddress =   {Tampere, Finland},
4551
  month =        {Nov.},
4552
  OPTorganization = {},
4553
  OPTpublisher = {},
4554
  OPTnote =      {},
4555
  OPTannote =    {}
4556
}
4557
 
4558
%Mikael Millberg, Erland Nilsson, Rikard Thid, Shashi Kumar, and Axel Jantsch.
4559
%The Nostrum backbone - a communication protocol stack for networks on chip.
4560
%In Proceedings of the VLSI Design Conference, Mumbai, India,
4561
@InProceedings{millberg04,
4562
  author =       {Mikael Millberg and Erland Nilsson and Rikard Thid and Shashi Kumar and Axel Jantsch},
4563
  title =        {The Nostrum backbone - a communication protocol stack for networks on chip},
4564
  booktitle =    {VLSI design},
4565
  OPTcrossref =  {},
4566
  OPTkey =       {},
4567
  OPTpages =     {},
4568
  year =         {2004},
4569
  OPTeditor =    {},
4570
  OPTvolume =    {},
4571
  OPTnumber =    {},
4572
  OPTseries =    {},
4573
  OPTaddress =   {Mumbai, India},
4574
  OPTmonth =     {},
4575
  OPTorganization = {},
4576
  OPTpublisher = {},
4577
  OPTnote =      {},
4578
  OPTannote =    {}
4579
}
4580
 
4581
 
4582
%Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip,
4583
%M. Millberg, E. Nilsson, R. Thid, A. Jantsch,
4584
%In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE),
4585
%16-20 Feb. 2004, Vol. 2, pp. 890 - 895.
4586
@InProceedings{millberg04b,
4587
  author =       {M. Millberg and E. Nilsson, R. Thid and A. Jantsch},
4588
  title =        {Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip},
4589
  booktitle =    {DATE},
4590
  OPTcrossref =  {},
4591
  OPTkey =       {},
4592
  OPTpages =     {890--895},
4593
  year =         {2004},
4594
  OPTeditor =    {},
4595
  OPTvolume =    {},
4596
  OPTnumber =    {},
4597
  OPTseries =    {},
4598
  OPTaddress =   {},
4599
  month =        {Feb.},
4600
  OPTorganization = {},
4601
  OPTpublisher = {},
4602
  OPTnote =      {},
4603
  OPTannote =    {}
4604
}
4605
 
4606
%Abhishek Mitra, Marcello Lajolo,Kanishka Lahiri
4607
%SOFTENIT: a methodology for boosting the software content of system-on-chip designs
4608
%Proceedings of the 15th ACM Great Lakes symposium on VLSI
4609
%Chicago, Illinois, USA
4610
%Pages: 361 - 366, 2005
4611
@InProceedings{mitra05,
4612
  author =       {Abhishek Mitra and Marcello Lajolo and Kanishka Lahiri},
4613
  title =        {{SOFTENIT}: a methodology for boosting the software content of system-on-chip designs},
4614
  booktitle =    {GLSVLSI},
4615
  OPTcrossref =  {},
4616
  OPTkey =       {},
4617
  OPTpages =     {361--366},
4618
  year =         {2005},
4619
  OPTeditor =    {},
4620
  OPTvolume =    {},
4621
  OPTnumber =    {},
4622
  OPTseries =    {},
4623
  OPTaddress =   {},
4624
  month =        {Apr.},
4625
  OPTorganization = {},
4626
  OPTpublisher = {},
4627
  OPTnote =      {},
4628
  OPTannote =    {}
4629
}
4630
 
4631
 
4632
 
4633
 
4634
@Misc{mody06,
4635
  OPTkey =       {},
4636
  author =       {Mihir Mody},
4637
  title =        {Video encoding, {SoC} development, and {TI's DSP} architecture},
4638
  howpublished = {Video/Imaging design line, CMP MEdia LLC, online: http://www.videsignline.},
4639
  month =        {May},
4640
  year =         {2006},
4641
  OPTnote =      {},
4642
  OPTannote =    {}
4643
}
4644
 
4645
 
4646
@INPROCEEDINGS{mohanty02,
4647
  author = {S. Mohanty and V. Prasanna},
4648
  title = {Rapid System-Level Performance Evaluation and Optimization for Application Mapping onto {SoC} Architectures}},
4649
  booktitle = "IEEE Int. ASIC/SOC Conf.",
4650
  month = "Sept.",
4651
  year = "2002",
4652
  pages = "160--167"
4653
}
4654
 
4655
 
4656
%F. Mondinelli, M. Borgatti, Z.M.K. Vajna,
4657
%A 0.13 um 1Gb/s/channel store-and-forward network on-chip,
4658
%IEEE International SOC Conference, 12-15 Sept. 2004, pp. 141 - 142
4659
@InProceedings{mondinelli04,
4660
  author =       {F. Mondinelli and M. Borgatti and Z.M.K. Vajna},
4661
  title =        {A 0.13 um {1Gb/s/channel} store-and-forward network on-chip},
4662
  booktitle =    {SOCC},
4663
  OPTcrossref =  {},
4664
  OPTkey =       {},
4665
  pages =        {141--142},
4666
  year =         {2004},
4667
  OPTeditor =    {},
4668
  OPTvolume =    {},
4669
  OPTnumber =    {},
4670
  OPTseries =    {},
4671
  OPTaddress =   {},
4672
  month =        {Sep.},
4673
  OPTorganization = {},
4674
  OPTpublisher = {},
4675
  OPTnote =      {},
4676
  OPTannote =    {}
4677
}
4678
 
4679
@Article{moore65,
4680
  author =       {Gordon E. Moore},
4681
  title =        {Cramming more components onto integrated circuits},
4682
  journal =      {Electronics magazine},
4683
  year =         {1965},
4684
  OPTkey =       {},
4685
  OPTvolume =    {},
4686
  OPTnumber =    {},
4687
  pages =        {114--117},
4688
  month =        {Apr.},
4689
  OPTnote =      {},
4690
  OPTannote =    {}
4691
}
4692
 
4693
 
4694
 
4695
%G.E.  Moore,
4696
%No exponential is forever: but "Forever" can be delayed!,
4697
%IEEE International Solid-State Circuits Conference (ISSCC), Digest of Technical Papers,
4698
%2003, pp. 20 - 23 vol.1.
4699
@InProceedings{moore03,
4700
  author =       {Gordon E. Moore},
4701
  title =        {No exponential is forever: but {"Forever"} can be delayed!},
4702
  booktitle =    {ISSCC, Digest of Technical Papers},
4703
  OPTcrossref =  {},
4704
  OPTkey =       {},
4705
  pages =        {20--23},
4706
  year =         {2003},
4707
  OPTeditor =    {},
4708
  OPTvolume =    {},
4709
  OPTnumber =    {},
4710
  OPTseries =    {},
4711
  OPTaddress =   {},
4712
  OPTmonth =     {},
4713
  OPTorganization = {},
4714
  OPTpublisher = {},
4715
  OPTnote =      {},
4716
  OPTannote =    {}
4717
}
4718
 
4719
%\bibitem {moraes03} Moraes, F., \emph{et al.}: A Low Area Overhead
4720
%  Packet-Switched Network on Chip: Architecture and Prototyping. In
4721
%  proc. IFIP VLSI-SOC (2003) 174-179
4722
@InProceedings{moraes03,
4723
  author =       {Fernando  Moraes and Aline Mello and Leandro M\"oller and Luciano Ost and Ney Calazans},
4724
  title =        {A Low Area Overhead Packet-Switched Network on Chip: Architecture and Prototyping},
4725
  booktitle =    {IFIP VLSO-SOC},
4726
  OPTcrossref =  {},
4727
  OPTkey =       {},
4728
  pages =        {174--179},
4729
  year =         {2003},
4730
  OPTeditor =    {},
4731
  OPTvolume =    {},
4732
  OPTnumber =    {},
4733
  OPTseries =    {},
4734
  OPTaddress =   {Darmstadt, Germany},
4735
  month =        {Dec.},
4736
  OPTorganization = {},
4737
  OPTpublisher = {},
4738
  OPTnote =      {},
4739
  OPTannote =    {}
4740
}
4741
 
4742
%HERMES: an infrastructure for low area overhead packet-switching networks on chip,
4743
%F. Moraes, N. Calazans, A. Mello, L. Möller, L. Ost,
4744
%Integration, the VLSI Journal, Vol. 38, Iss. 1, Oct. 2004, Pages 69-93.
4745
@Article{moraes04,
4746
  author =       {Fernando Moraes and  Ney Calazans and Aline Mello and Leandro M\"oller and Luciano Ost},
4747
  title =        {HERMES: an infrastructure for low area overhead packet-switching networks on chip},
4748
  journal =      {Integration, the VLSI Journal},
4749
  year =         {2004},
4750
  OPTkey =       {},
4751
  volume =       {38},
4752
  number =       {1},
4753
  pages =        {69--93},
4754
  month =        {Oct.},
4755
  OPTnote =      {},
4756
  OPTannote =    {}
4757
}
4758
 
4759
 
4760
%A. Morgenshtein, I. Cidon, A. Kolodny, R. Ginosar, Comparative analysis of serial vs parallel links in NoC,
4761
%System-on-Chip, 2004. Proceedings. 2004 International Symposium on , vol., no., pp. 185-188, 16-18 Nov. 2004.
4762
@InProceedings{morgenshtein04,
4763
  author =       {A. Morgenshtein and I. Cidon and A. Kolodny and R. Ginosar},
4764
  title =        {Comparative analysis of serial vs parallel links in {NoC}},
4765
  booktitle =    tresoc,
4766
  OPTcrossref =  {},
4767
  OPTkey =       {},
4768
  pages =        {185--188},
4769
  year =         {2004},
4770
  OPTeditor =    {},
4771
  OPTvolume =    {},
4772
  OPTnumber =    {},
4773
  OPTseries =    {},
4774
  OPTaddress =   {Tampere, Finland},
4775
  month =        {Nov.},
4776
  OPTorganization = {},
4777
  OPTpublisher = {},
4778
  OPTnote =      {},
4779
  OPTannote =    {}
4780
}
4781
 
4782
%R. Mullins and A. West and S. Moore
4783
%The design and implementation of a low-latency on-chip network
4784
%Design Automation, 2006. Asia and South Pacific Conference on
4785
%24-27 Jan. 2006 Page(s):6 pp.
4786
@InProceedings{mullins06,
4787
  author =       {R. Mullins and A. West and S. Moore},
4788
  title =        {The design and implementation of a low-latency on-chip network},
4789
  booktitle =    {ASP-DAC},
4790
  OPTcrossref =  {},
4791
  OPTkey =       {},
4792
  OPTpages =     {},
4793
  year =         {2006},
4794
  OPTeditor =    {},
4795
  OPTvolume =    {},
4796
  OPTnumber =    {},
4797
  OPTseries =    {},
4798
  OPTaddress =   {},
4799
  month =        {Jan.},
4800
  OPTorganization = {},
4801
  OPTpublisher = {},
4802
  OPTnote =      {},
4803
  OPTannote =    {}
4804
}
4805
 
4806
 
4807
 
4808
@InProceedings{mullins06b,
4809
  author =       {R. Mullins},
4810
  title =        {Minimising Dynamic Power Consumption in On-Chip Networks},
4811
  booktitle =    tresoc,
4812
  OPTcrossref =  {},
4813
  OPTkey =       {},
4814
  pages =        {119--122},
4815
  year =         {2006},
4816
  OPTeditor =    {},
4817
  OPTvolume =    {},
4818
  OPTnumber =    {},
4819
  OPTseries =    {},
4820
  OPTaddress =   {Tampere, Finland},
4821
  month =        {Nov.},
4822
  OPTorganization = {},
4823
  OPTpublisher = {},
4824
  OPTnote =      {},
4825
  OPTannote =    {}
4826
}
4827
 
4828
% S. Murali, G. de Micheli,
4829
%Bandwidth-constrained mapping of cores onto NoC architectures,
4830
%DATE, Paris, France, Feb. 2004, Vol 2, pp. 896-901.
4831
@InProceedings{murali04,
4832
  author =       {Srinivasan Murali and Giovanni de Micheli},
4833
  title =        {Bandwidth-constrained mapping of cores onto {NoC} architectures},
4834
  booktitle =    {DATE},
4835
  OPTcrossref =  {},
4836
  OPTkey =       {},
4837
  pages =        {896--901},
4838
  year =         {2004},
4839
  OPTeditor =    {},
4840
  OPTvolume =    {2},
4841
  OPTnumber =    {},
4842
  OPTseries =    {},
4843
  OPTaddress =   {Paris, France},
4844
  month =        {Feb.},
4845
  OPTorganization = {},
4846
  OPTpublisher = {},
4847
  OPTnote =      {},
4848
  OPTannote =    {}
4849
}
4850
 
4851
%Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems-on-Chips
4852
%S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, L. Raffo, G. De Micheli
4853
%14th Annual IFIP International Conference on Very Large Scale Integration (VLSI-SoC) (Congress)        2006
4854
@InProceedings{murali06,
4855
  author =       {S. Murali and P. Meloni and F. Angiolini and D. Atienza and S. Carta and L. Benini and L. Raffo and Giovanni de Micheli},
4856
  title =        {Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems-on-Chips},
4857
  booktitle =    {IFIP},
4858
  OPTcrossref =  {},
4859
  OPTkey =       {},
4860
  OPTpages =     {??},
4861
  year =         {2006},
4862
  OPTeditor =    {},
4863
  OPTvolume =    {},
4864
  OPTnumber =    {},
4865
  OPTseries =    {},
4866
  OPTaddress =   {},
4867
  OPTmonth =     {},
4868
  OPTorganization = {},
4869
  OPTpublisher = {},
4870
  OPTnote =      {},
4871
  OPTannote =    {}
4872
}
4873
 
4874
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
4875
%%
4876
%% NNN
4877
%%
4878
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
4879
 
4880
 
4881
%C. Neeb, M.J. Thul, N. Wehn,
4882
%Network-on-Chip-Centric Approach to interleaving in high throughput channel decoders,
4883
%ISCAS, May 2005, pp.1766-1769.
4884
@InProceedings{neeb05,
4885
  author =       {C. Neeb and M.J. Thul and N. Wehn},
4886
  title =        {Network-on-Chip-Centric Approach to interleaving in high throughput channel decoders},
4887
  booktitle =    {ISCAS},
4888
  OPTcrossref =  {},
4889
  OPTkey =       {},
4890
  pages =        {1766--1769},
4891
  year =         {2005},
4892
  OPTeditor =    {},
4893
  OPTvolume =    {},
4894
  OPTnumber =    {},
4895
  OPTseries =    {},
4896
  OPTaddress =   {Kobe, Japana},
4897
  month =        {May},
4898
  OPTorganization = {},
4899
  OPTpublisher = {},
4900
  OPTnote =      {},
4901
  OPTannote =    {}
4902
}
4903
 
4904
@MastersThesis{nilsson02,
4905
  author =       {Erland Nilsson},
4906
  title =        {Design and implementation of a hot-potato switch in network on chip},
4907
  school =       {Royal Institute of Technology (KTH)},
4908
  year =         {2002},
4909
  OPTkey =       {},
4910
  OPTtype =      {},
4911
  address =      {Stockholm, Sweden},
4912
  month =        {June},
4913
  OPTnote =      {},
4914
  OPTannote =    {}
4915
}
4916
 
4917
 
4918
 
4919
Erland Nilsson and Johnny Öberg.
4920
PANACEA - a case study on the PANACEA NoC - a Nostrum network on chip prototype.
4921
Technical Report TRITA-ICT/ECS R 06:01, School of Information and Communication Technology,
4922
Royal Institute of Technology, Electrum 229, SE-164 40 Kista, Sweden, April 2006.
4923
@TechReport{nilsson06,
4924
  author =       {Erland Nilsson and Johnny \"Oberg},
4925
  title =        {{PANACEA} - a case study on the {PANACEA NoC} - a {Nostrum} network on chip prototype},
4926
  institution =  {Royal Institute of Technology},
4927
  year =         {2006},
4928
  OPTkey =       {},
4929
  OPTtype =      {},
4930
  number =       {229},
4931
  OPTaddress =   {},
4932
  month =        {Apr.},
4933
  OPTnote =      {},
4934
  OPTannote =    {}
4935
}
4936
 
4937
 
4938
@Misc{nocbw06,
4939
  OPTkey =       {},
4940
  OPTauthor =    {C.S. Grecu and P.P. Pance and A. Ivanov  and R. Marculescu and E. Salminen and A. Jantsch},
4941
  author =       {{NoC Benchmark Workgroup}},
4942
  title =        {An initiatie towards open Network-on-Chip benchmarks},
4943
  howpublished = {white paper, OCP-IP, [Online]. Available: http://www.ocpip.org/socket/whitepapers/NoC-Benchmarks-WhitePaper-15.pdf},
4944
  OPTmonth =     {},
4945
  year =         {2007},
4946
  OPTnote =      {},
4947
  OPTannote =    {}
4948
}
4949
 
4950
@Book{nurmi04,
4951
  ALTauthor =    {},
4952
  editor =       {J. Nurmi and H. Tenhunen and J. Isoaho and A. Jantsch},
4953
  title =        {Interconnect-Centric Design for Advanced SoC and NoC},
4954
  publisher =    kap,
4955
  year =         {2004},
4956
  OPTkey =       {},
4957
  OPTvolume =    {},
4958
  OPTnumber =    {},
4959
  OPTseries =    {},
4960
  OPTaddress =   {Dordrecht, The Netherlands},
4961
  OPTedition =   {},
4962
  OPTmonth =     {},
4963
  OPTnote =      {},
4964
  OPTannote =    {}
4965
}
4966
 
4967
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
4968
%%
4969
%% OOO
4970
%%
4971
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
4972
 
4973
 
4974
 
4975
%\bibitem {ocp01} OCP-IP Alliance: Open Core Protocol Specification,
4976
%  Release 1.0, Portland, OR (2001)
4977
@Manual{ocp01,
4978
  title =        {Open Core Protocol Specification, Release 1.0},
4979
  OPTkey =       {},
4980
  OPTauthor =    {},
4981
  organization = {OCP-IP Alliance},
4982
  address =      {Portland, OR},
4983
  OPTedition =   {},
4984
  month =        {},
4985
  year =         {2001},
4986
  OPTnote =      {},
4987
  OPTannote =    {}
4988
}
4989
 
4990
@Manual{ocp03,
4991
  title =        {Open Core Protocol Specification, Release 2.0},
4992
  OPTkey =       {},
4993
  OPTauthor =    {},
4994
  organization = {OCP-IP Alliance},
4995
  address =      {Portland, OR},
4996
  OPTedition =   {},
4997
  month =        {},
4998
  year =         {2003},
4999
  OPTnote =      {},
5000
  OPTannote =    {}
5001
}
5002
 
5003
 
5004
 
5005
%S. Ogg, E. Valli, C. D'Alessandro, A. Yakovlev, B. Al-Hashimi, L. Benini,
5006
%Reducing Interconnect Cost in NoC through Serialized Asynchronous Links,
5007
%First International Symposium on Networks-on-Chip (NOCS), 7-9 May 2007, pp. 219 - 219.
5008
@InProceedings{ogg07,
5009
  author =       {S. Ogg and E. Valli and C. D'Alessandro and A. Yakovlev and B. Al-Hashimi and L. Benini},
5010
  title =        {Reducing Interconnect Cost in {NoC} through Serialized Asynchronous Links},
5011
  booktitle =    {NOCS},
5012
  OPTcrossref =  {},
5013
  OPTkey =       {},
5014
  pages =        {219--219},
5015
  year =         {2007},
5016
  OPTeditor =    {},
5017
  OPTvolume =    {},
5018
  OPTnumber =    {},
5019
  OPTseries =    {},
5020
  OPTaddress =   {},
5021
  month =        {May},
5022
  OPTorganization = {},
5023
  OPTpublisher = {},
5024
  OPTnote =      {},
5025
  OPTannote =    {}
5026
}
5027
 
5028
%Umit Y. Ogras, Jingcao Hu, Radu Marculescu,
5029
%Key research problems in NoC design: a holistic perspective,
5030
%CODES 2005, pp .69-75.
5031
@InProceedings{ogras05,
5032
  author =       {Umit Y. Ogras and Jingcao Hu and Radu Marculescu},
5033
  title =        {Key research problems in {NoC} design: a holistic perspective},
5034
  booktitle =    {CODES},
5035
  OPTcrossref =  {},
5036
  OPTkey =       {},
5037
  pages =        {69--75},
5038
  year =         {2005},
5039
  OPTeditor =    {},
5040
  OPTvolume =    {},
5041
  OPTnumber =    {},
5042
  OPTseries =    {},
5043
  OPTaddress =   {},
5044
  month =        {Sep.},
5045
  OPTorganization = {},
5046
  OPTpublisher = {},
5047
  OPTnote =      {},
5048
  OPTannote =    {}
5049
}
5050
 
5051
 
5052
 
5053
 
5054
%Ogras, U.Y.; Marculescu, R.; Hyung Gyu Lee; Naehyuck Chang;
5055
%Communication architecture optimization: making the shortest path shorter in regular networks-on-chip
5056
%Design, Automation and Test in Europe, 2006. DATE '06. Proceedings
5057
%Volume 1,  6-10 March 2006 Page(s):6 pp.
5058
@InProceedings{ogras06a,
5059
  author =       {U.Y. Ogras and R. Marculescu and Hyung Gyu Lee and Naehyuck Chang},
5060
  title =        {Communication architecture optimization: making the shortest path shorter in regular networks-on-chip},
5061
  booktitle =    {DATE},
5062
  OPTcrossref =  {},
5063
  OPTkey =       {},
5064
  pages =        {6--10},
5065
  year =         {2006},
5066
  OPTeditor =    {},
5067
  OPTvolume =    {1},
5068
  OPTnumber =    {},
5069
  OPTseries =    {},
5070
  OPTaddress =   {},
5071
  month =        {Mar.},
5072
  OPTorganization = {},
5073
  OPTpublisher = {},
5074
  OPTnote =      {},
5075
  OPTannote =    {}
5076
}
5077
 
5078
 
5079
 
5080
@InProceedings{orsila07,
5081
  author =       {Heikki Orsila and Erno Salminen and Marko H\"annik\"ainen and Timo D. H\"am\"al\"ainen},
5082
  title =        {Optimal Subset Mapping And Convergence Evaluation of Mapping Algorithms for Distributing Task Graphs on Multiprocessor {SoC}},
5083
  booktitle =    tresoc,
5084
  OPTcrossref =  {},
5085
  OPTkey =       {},
5086
  OPTpages =     {},
5087
  year =         {2007},
5088
  OPTeditor =    {},
5089
  OPTvolume =    {},
5090
  OPTnumber =    {},
5091
  OPTseries =    {},
5092
  address =      {Tampere, Finland},
5093
  month =        {Nov.},
5094
  OPTorganization = {},
5095
  OPTpublisher = {},
5096
  OPTnote =      {},
5097
  OPTannote =    {}
5098
}
5099
 
5100
 
5101
 
5102
@InBook{orsila08,
5103
  author =       {Heikki Orsila and Erno Salminen and Timo D. H\"am\"al\"ainen},
5104
  ALTeditor =    {},
5105
  title =        {Global optimization: Focus on Simulated annealing},
5106
  chapter =      {Best Practices for Simulated Annealing in Multiprocessor Task Distribution Problems},
5107
  publisher =    {ITECH},
5108
  year =         {2008},
5109
  OPTkey =       {},
5110
  OPTvolume =    {},
5111
  OPTnumber =    {},
5112
  OPTseries =    {},
5113
  OPTtype =      {},
5114
  OPTaddress =   {},
5115
  OPTedition =   {},
5116
  OPTmonth =     {},
5117
  OPTpages =     {},
5118
  OPTnote =      {},
5119
  OPTannote =    {}
5120
}
5121
 
5122
%bibitem{owens07} J.D. Owens, W.J. Dally, R. Ho, D.N. Jayasimha,
5123
% S.W. Keckler, Li-Shiuan Peh, Research Challenges for On-Chip
5124
% Interconnection Networks, IEEE Micro, Vol. 27, Iss. 5, Sept.-Oct.
5125
% 2007, pp. 96 - 108.
5126
@Article{owens07,
5127
  author =       {J.D. Owens and W.J. Dally and R. Ho and D.N. Jayasimha and S.W. Keckler and Li-Shiuan Peh},
5128
  title =        {Research Challenges for On-Chip Interconnection Networks},
5129
  journal =      {IEEE Micro},
5130
  year =         {2007},
5131
  OPTkey =       {},
5132
  volume =       {27},
5133
  number =       {5},
5134
  pages =        {96--108},
5135
  month =        {Sep-Oct.},
5136
  OPTnote =      {},
5137
  OPTannote =    {}
5138
}
5139
 
5140
 
5141
 
5142
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
5143
%%
5144
%% PPP
5145
%%
5146
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
5147
 
5148
 
5149
 
5150
@Misc{ptm06,
5151
  OPTkey =       {},
5152
  author =       {{Nanoscale Integration and Modeling Group}},
5153
  title =        {Predictive Technology Model},
5154
  howpublished = {Arizona State University, [online], http://www.eas.asu.edu/~ptm/},
5155
  OPTmonth =     {},
5156
  year =         {2006},
5157
  OPTnote =      {},
5158
  OPTannote =    {}
5159
}
5160
 
5161
 
5162
%J.C.S. Palma, L.S. Indrusiak, F.G. Moraes, A.G Ortiz, M.Glesner, R.A.L. Reis,
5163
%Evaluating the impact of data encoding techniques on the power consumption in networks-on-chip,
5164
%Emerging VLSI Technologies and Architectures, March 2006, pp.2-3 .
5165
@InProceedings{palma06,
5166
  author =       {J.C.S. Palma and L.S. Indrusiak and F.G. Moraes and A.G Ortiz and M.Glesner and R.A.L. Reis},
5167
  title =        {Evaluating the impact of data encoding techniques on the power consumption in networks-on-chip},
5168
  booktitle =    {Emerging VLSI Technologies and Architectures},
5169
  OPTcrossref =  {},
5170
  OPTkey =       {},
5171
  pages =        {2--3},
5172
  year =         {2006},
5173
  OPTeditor =    {},
5174
  OPTvolume =    {},
5175
  OPTnumber =    {},
5176
  OPTseries =    {},
5177
  OPTaddress =   {},
5178
  month =        {Mar.},
5179
  OPTorganization = {},
5180
  OPTpublisher = {},
5181
  OPTnote =      {},
5182
  OPTannote =    {}
5183
}
5184
 
5185
%D. Pamunuwa, J. Öberg, L. R. Zheng, M. Millberg, A. Jantsch, and H. Tenhunen.
5186
%Layout, performance and power trade-offs in mesh-based network-on-chip architectures.
5187
%In IFIP International Conference on Very Large Scale Integration (VLSI-SOC), Darmstadt, Germany, December 2003, pp. 362-367.
5188
@InProceedings{pamunuwa03,
5189
  author =       {D. Pamunuwa and J. \"Oberg and L. R. Zheng and M. Millberg and A. Jantsch and H. Tenhunen},
5190
  title =        {Layout, performance and power trade-offs in mesh-based network-on-chip architectures},
5191
  booktitle =    {VLSI-SOC},
5192
  OPTbooktitle =         {IFIP International Conference on Very Large Scale Integration (VLSI-SOC)},
5193
  OPTcrossref =  {},
5194
  OPTkey =       {},
5195
  pages =        {362--267},
5196
  year =         {2003},
5197
  OPTeditor =    {},
5198
  OPTvolume =    {},
5199
  OPTnumber =    {},
5200
  OPTseries =    {},
5201
  OPTaddress =   {Darmstadt, Germany},
5202
  month =        {Dec.},
5203
  OPTorganization = {},
5204
  OPTpublisher = {},
5205
  OPTnote =      {},
5206
  OPTannote =    {}
5207
}
5208
%Partha Pratim Pande, Cristian Grecu*, André Ivanov and Res Saleh,
5209
%"Switch-Based Interconnect Architecture for Future Systems on Chip,"
5210
%Proceedings of SPIE, VLSI Circuits and Systems,
5211
%Vol. 5117, pp. 228-237, 2003, Maspalomas, Gran Canaria, Spain
5212
@InProceedings{pande03,
5213
  author =       {Partha Pratim Pande and Cristian Grecu and André Ivanov and Res Saleh},
5214
  title =        {Switch-Based Interconnect Architecture for Future Systems on Chip},
5215
  booktitle =    {SPIE, VLSI Circuits and Systems},
5216
  OPTcrossref =  {},
5217
  OPTkey =       {},
5218
  pages =        {228--237},
5219
  year =         {2003},
5220
  OPTeditor =    {},
5221
  volume =       {5117},
5222
  OPTnumber =    {},
5223
  OPTseries =    {},
5224
  OPTaddress =   {Maspalomas, Gran Canaria, Spain},
5225
  month =        {May},
5226
  OPTorganization = {},
5227
  OPTpublisher = {},
5228
  OPTnote =      {},
5229
  OPTannote =    {}
5230
}
5231
 
5232
 
5233
 
5234
%Partha Pratim Pande, Cristian Grecu, André Ivanov, Res Saleh, iscas 2003
5235
%Design of a Switch for Network on Chip Applications
5236
% iscas 2003
5237
@InProceedings{pande03b,
5238
  author =       {Partha Pratim Pande and Cristian Grecu and André Ivanov and Res Saleh},
5239
  title =        {Design of a Switch for Network on Chip Applications},
5240
  booktitle =    {ISCAS},
5241
  OPTcrossref =  {},
5242
  OPTkey =       {},
5243
  pages =        {217--220},
5244
  year =         {2003},
5245
  OPTeditor =    {},
5246
  OPTvolume =    {},
5247
  OPTnumber =    {},
5248
  OPTseries =    {},
5249
  OPTaddress =   {},
5250
  OPTmonth =     {},
5251
  OPTorganization = {},
5252
  OPTpublisher = {},
5253
  OPTnote =      {},
5254
  OPTannote =    {}
5255
}
5256
 
5257
@InProceedings{pande05a,
5258
  author =       {P.P. Pande and C. Grecu and  M. Jones and  A. Ivanov and R. Saleh},
5259
  title =        {Effect of traffic localization on energy dissipation in {NoC-based} interconnect},
5260
  booktitle =    {ISCAS},
5261
  OPTcrossref =  {},
5262
  OPTkey =       {},
5263
  pages =        {1774--1777},
5264
  year =         {2005},
5265
  OPTeditor =    {},
5266
  OPTvolume =    {},
5267
  OPTnumber =    {},
5268
  OPTseries =    {},
5269
  OPTaddress =   {Kobe, Japan},
5270
  month =        {May},
5271
  OPTorganization = {},
5272
  OPTpublisher = {},
5273
  OPTnote =      {},
5274
  OPTannote =    {}
5275
}
5276
 
5277
 
5278
 
5279
%P.P. Pande, C. Grecu, M. Jones, A. Ivanov, R. Saleh,
5280
%Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures, IEEE Transactions on Computers, Vol. 54,  Iss. 8,  Aug. 2005, pp. 1025 - 1040.
5281
@Article{pande05b,
5282
  author =       {P.P. Pande and  C. Grecu and M. Jones and A. Ivanov and R. Saleh},
5283
  title =        {Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures},
5284
  journal =      {IEEE Trans. Computers},
5285
  year =         {2005},
5286
  OPTkey =       {},
5287
  volume =       {54},
5288
  number =       {8},
5289
  pages =        {1025--1040},
5290
  month =        {Aug.},
5291
  OPTnote =      {},
5292
  OPTannote =    {}
5293
}
5294
 
5295
 
5296
@Article{pande05c,
5297
  author =       {Partha P. Pande and others},
5298
  title =        {Design, synthesis, and test of networks on chips},
5299
  journal =      {IEEE Des. Test Comput},
5300
  year =         {2005},
5301
  OPTkey =       {},
5302
  volume =       {22},
5303
  number =       {5},
5304
  pages =        {404--413},
5305
  month =        {Aug.},
5306
  OPTnote =      {},
5307
  OPTannote =    {}
5308
}
5309
 
5310
 
5311
%\bibitem {park01} Park, J.H., \emph{et al.}: MPEG-4 video codec on an ARM and
5312
%  AMBA. In proc.  Workshop and Exhibition on MPEG-4 (2001) 95-98
5313
@InProceedings{park01,
5314
  author =       {J.H. Park and  I.K. Kim and S.M. Kim and  S.M. Park and B.T. Koo and K.S. Shin and K.B. Seo and J.J. Cha},
5315
  title =        {{MPEG-4} video codec on an {ARM} and {AMBA}},
5316
  booktitle =    {Workshop and Exhibition on MPEG-4},
5317
  pages =        {95--98},
5318
  year =         2001,
5319
  address =      {San Jose, CA},
5320
  month =        {June}
5321
}
5322
 
5323
 
5324
 
5325
% J.M. Paul, D.E. Thomas, A. Bobrek,
5326
%Benchmark-based design strategies for single chip heterogeneous multiprocessors,
5327
%Proceedings of international conference on Hardware/software codesign and system synthesis '(CODES),
5328
%Stockholm, Sweden, 8-10 September 2004, pp. 54-59.
5329
@InProceedings{paul04,
5330
  author =       {J.M. Paul and D.E. Thomas and A. Bobrek},
5331
  title =        {Benchmark-based design strategies for single chip heterogeneous multiprocessors},
5332
  booktitle =    {CODES+ISSS},
5333
  OPTcrossref =  {},
5334
  OPTkey =       {},
5335
  pages =        {54--59},
5336
  year =         {2004},
5337
  OPTeditor =    {},
5338
  OPTvolume =    {},
5339
  OPTnumber =    {},
5340
  OPTseries =    {},
5341
  address =      {Stockholm, Sweden},
5342
  month =        {Sep.},
5343
  OPTorganization = {},
5344
  OPTpublisher = {},
5345
  OPTnote =      {},
5346
  OPTannote =    {}
5347
}
5348
 
5349
 
5350
 
5351
 
5352
%Scenario-oriented design for single-chip heterogeneous multiprocessors
5353
%Paul, J.M.; Thomas, D.E.; Bobrek, A.;
5354
%Very Large Scale Integration (VLSI) Systems, IEEE Transactions on
5355
%Volume 14,  Issue 8,  Aug. 2006 Page(s):868 - 880
5356
%Digital Object Identifier 10.1109/TVLSI.2006.878474
5357
@Article{paul06,
5358
  author =       {J.M. Paul and D.E. Thomas and A. Bobrek},
5359
  title =        {Scenario-oriented design for single-chip heterogeneous multiprocessors},
5360
  journal =      t-vlsi,
5361
  year =         {2006},
5362
  OPTkey =       {},
5363
  volume =       {14},
5364
  number =       {8},
5365
  pages =        {868--880},
5366
  month =        {Aug.},
5367
  OPTnote =      {},
5368
  OPTannote =    {}
5369
}
5370
 
5371
 
5372
%3-D Topologies for Networks-on-Chip
5373
%Pavlidis, V. F.; Friedman, E. G.;
5374
%Very Large Scale Integration (VLSI) Systems, IEEE Transactions on
5375
%Volume 15,  Issue 10,  Oct. 2007 Page(s):1081 - 1090
5376
@Article{pavlidis07,
5377
  author =       {V. F. Pavlidis and E. G. Friedman},
5378
  title =        {{3-D} Topologies for Networks-on-Chip},
5379
  journal =      t-vlsi,
5380
  year =         {2007},
5381
  OPTkey =       {},
5382
  volume =       {15},
5383
  number =       {10},
5384
  pages =        {1081--1090},
5385
  month =        {Oct.},
5386
  OPTnote =      {},
5387
  OPTannote =    {}
5388
}
5389
 
5390
 
5391
 
5392
%Li-Shiuan Peh and William J. Dally,
5393
%"A Delay Model for Router Micro-architectures.", In IEEE Micro, Jan/Feb 2001.
5394
@Article{peh01,
5395
  author =       {Li-Shiuan Peh and William J. Dally},
5396
  title =        {A Delay Model for Router Micro-architectures},
5397
  journal =      {IEEE Micro},
5398
  year =         {2001},
5399
  OPTkey =       {},
5400
  OPTvolume =    {},
5401
  OPTnumber =    {},
5402
  pages =        {26--34},
5403
  month =        {Jan/Feb.},
5404
  OPTnote =      {},
5405
  OPTannote =    {}
5406
}
5407
 
5408
%Sandro Penolazzi and Axel Jantsch.
5409
%A high level power model for the Nostrum NoC.
5410
%In 9th Euromicro Conference on Digital System Design (DSD 2006), August 2006.
5411
@InProceedings{penolazzi06,
5412
  author =       {Sandro Penolazzi and Axel Jantsch},
5413
  title =        {A high level power model for the {Nostrum NoC}},
5414
  booktitle =    {Euromicro DSD},
5415
  OPTcrossref =  {},
5416
  OPTkey =       {},
5417
  pages =        {673--676},
5418
  year =         {2006},
5419
  OPTeditor =    {},
5420
  OPTvolume =    {},
5421
  OPTnumber =    {},
5422
  OPTseries =    {},
5423
  OPTaddress =   {},
5424
  month =        {Aug.},
5425
  OPTorganization = {},
5426
  OPTpublisher = {},
5427
  OPTnote =      {},
5428
  OPTannote =    {}
5429
}
5430
 
5431
% \bibitem {pimentel02}
5432
%A. D. Pimentel, S. Polstra, F. Terpstra, A.W. van Halderen, J. E. Coffland, and
5433
% L. O. Hertzberger,
5434
%Towards efficient design space exploration of heterogeneous embedded media systems,
5435
%in LNCS, vol. 2268, 2002, pp. 57-73.
5436
 
5437
@InProceedings{pimentel02,
5438
  author =       {A. D. Pimentel and S. Polstra and F. Terpstra and A.W. van Halderen and J. E. Coffland and  L. O. Hertzberger},
5439
  title =        {Towards efficient design space exploration of heterogeneous embedded media systems},
5440
  booktitle =    {SAMOS},
5441
  OPTcrossref =  {},
5442
  OPTkey =       {},
5443
  pages =        {57--63},
5444
  year =         {2002},
5445
  OPTeditor =    {},
5446
  OPTvolume =    {},
5447
  OPTnumber =    {},
5448
  OPTseries =    {},
5449
  OPTaddress =   {Samos, Greece},
5450
  month =        {Jul.},
5451
  OPTorganization = {},
5452
  OPTpublisher = {},
5453
  OPTnote =      {},
5454
  OPTannote =    {}
5455
}
5456
 
5457
 
5458
@ARTICLE{pimentel05,
5459
  author = {A. D. Pimentel},
5460
  title = {The {Artemis} Workbench for System-level Performance Evaluation of Embedded Systems},
5461
  journal = "Int. Journal of Embedded Systems",
5462
  volume = "1",
5463
  number = "7",
5464
  year = "2005"
5465
}
5466
 
5467
@ARTICLE{pimentel07,
5468
  author = {A. D. Pimentel and M. Thompson and S. Polstra and C. Erbas},
5469
  title = {Calibration of Abstract Performance Models for System-Level Design Space Exploration},
5470
  journal = "Journal of VLSI Sig. Process. Systems for Signal, Image, and Video Technology",
5471
  year = "2007"
5472
}
5473
 
5474
 
5475
 
5476
%Poletti, Francesco; Poggiali, Antonio; Bertozzi, Davide; Benini, Luca; Marchal, Pol; Loghi, Mirko; Poncino, Massimo;
5477
%Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing: Exploring Programming Models and Their Architectural Support
5478
%Transactions on Computers
5479
%Volume 56,  Issue 5,  May 2007 Page(s):606 - 621
5480
 
5481
@Article{poletti07,
5482
  author =       {F. Poletti and A. Poggiali and D. Antonio and L. Benini and P. Marchal and M. Loghi and M. Poncino},
5483
  title =        {Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing: Exploring Programming Models and Their Architectural Support},
5484
  journal =      t-comp,
5485
  year =         {2007},
5486
  OPTkey =       {},
5487
  volume =       {56},
5488
  number =       {5},
5489
  pages =        {606--621},
5490
  month =        {May},
5491
  OPTnote =      {},
5492
  OPTannote =    {}
5493
}
5494
 
5495
%Juha Plosila, Tiberiu Seceleanu, and Pasi Liljeberg
5496
%Implementation of a Self-Timed Segmented Bus
5497
%Volume 20,  Issue 6,  Nov.-Dec. 2003, pp. 44-50.
5498
@Article{plosila03,
5499
  author =       {Juha Plosila and Tiberiu Seceleanu and Pasi Liljeberg},
5500
  title =        {Implementation of a Self-Timed Segmented Bus},
5501
  journal =      {IEEE Des. Test Comput.},
5502
  year =         {2003},
5503
  OPTkey =       {},
5504
  volume =       {20},
5505
  number =       {6},
5506
  pages =        {44--50},
5507
  month =        {Nov.-Dec.},
5508
  OPTnote =      {},
5509
  OPTannote =    {}
5510
}
5511
 
5512
 
5513
% Bringing NoCs to 65 nm
5514
%Pullini, A.; Angiolini, F.; Murali, S.; Atienza, D.; De Micheli, G.; Benini, L.;
5515
%Micro, IEEE
5516
%Volume 27,  Issue 5,  Sept.-Oct. 2007 Page(s):75 - 85
5517
@Article{pullini07,
5518
  author =       {A. Pullini and  F.Angiolini and  S. Murali D. Atienza and G. {De Micheli} and L. Benini},
5519
  title =        {Bringing {NoCs} to 65 nm},
5520
  journal =      {IEEE Micro},
5521
  year =         {2007},
5522
  OPTkey =       {},
5523
  volume =       {27},
5524
  number =       {5},
5525
  pages =        {75--85},
5526
  month =        {Sep.-Oct},
5527
  OPTnote =      {},
5528
  OPTannote =    {}
5529
}
5530
 
5531
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
5532
%%
5533
%% RRR
5534
%%
5535
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
5536
 
5537
%Rolf Rabenseifner, Sunil R. Tiyyagura, and Matthias Muller
5538
%Network Bandwidth Measurements and Ratio Analysis with the HPC Challenge Benchmark Suite (HPCC)
5539
%Proceedings, EuroPVM/MPI 2005, Sep. 18-21, Sorrento, Italy, LNCS 3666, pp. 368--378Springer-Verlag, 2005.
5540
%Springer-Verlag, http://www.springer.de/comp/lncs/index.html
5541
@InProceedings{rabenseifner05,
5542
  author =       {Rolf Rabenseifner and Sunil R. Tiyyagura and Matthias M\"uller},
5543
  title =        {Network Bandwidth Measurements and Ratio Analysis with the {HPC} Challenge Benchmark Suite {(HPCC)}},
5544
  booktitle =    {EuroPVM/MPI, LNCS 3666},
5545
  OPTcrossref =  {},
5546
  OPTkey =       {},
5547
  pages =        {368 -- 378},
5548
  year =         {2005},
5549
  OPTeditor =    {},
5550
  OPTvolume =    {},
5551
  OPTnumber =    {},
5552
  OPTseries =    {},
5553
  OPTaddress =   {},
5554
  month =        {Sep.},
5555
  OPTorganization = {},
5556
  OPTpublisher = {},
5557
  OPTnote =      {},
5558
  OPTannote =    {}
5559
}
5560
 
5561
 
5562
%A. Radulescu, J. Dielissen, S.G. Pestana, O.P. Gangwal, E. Rijpkema, P. Wielage, K. Goossens,
5563
%An Efficient On-Chip NI Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration,
5564
%IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,
5565
%Vol. 24, Iss. 1, Jan. 2005, pp. 4 - 17
5566
@Article{radulescu05,
5567
  author =       {A. Radulescu and J. Dielissen and S.G. Pestana and O.P. Gangwal and E. Rijpkema and P. Wielage and K. Goossens},
5568
  title =        {An Efficient On-Chip {NI} Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Configuration},
5569
  journal =      t-cadics,
5570
  year =         {2005},
5571
  OPTkey =       {},
5572
  volume =       {24},
5573
  number =       {1},
5574
  pages =        {4--17},
5575
  month =        {Jan.},
5576
  OPTnote =      {},
5577
  OPTannote =    {}
5578
}
5579
 
5580
 
5581
 
5582
@InProceedings{rasmus07,
5583
  author =       {Antti Rasmus and Ari Kulmala and Erno Salminen and Timo D. H\"am\"al\"ainen},
5584
  title =        {{IP} Integration Overhead Analysis in System-on-Chip Video Encoder},
5585
  booktitle =    {DDECS},
5586
  OPTbooktitle =         {IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems (DDECS)},
5587
  OPTbooktitle = {DDECS},
5588
  OPTcrossref =  {},
5589
  OPTkey =       {},
5590
  pages =        {333--336},
5591
  year =         {2007},
5592
  OPTeditor =    {},
5593
  OPTvolume =    {},
5594
  OPTnumber =    {},
5595
  OPTseries =    {},
5596
  address =      {Krakow, Poland},
5597
  month =        {Apr.},
5598
  OPTorganization = {},
5599
  OPTpublisher = {},
5600
  OPTnote =      {},
5601
  OPTannote =    {}
5602
}
5603
 
5604
%Richardson, T.D.; Nicopoulos, C.; Park, D.; Narayanan, V.; Yuan Xie; Das, C.; Degalahal, V.;
5605
%A hybrid SoC interconnect with dynamic TDMA-based transaction-less buses and on-chip networks
5606
%VLSI Design, 2006. Held jointly with 5th International Conference on Embedded Systems and Design., 19th International Conference on
5607
%3-7 Jan. 2006 Page(s):8 pp.
5608
@InProceedings{richardson06,
5609
  author =       {T.D. Richardson and C. Nicopoulos and D. Park and V. Narayanan and Yuan Xie and C. Das and V. Degalahal},
5610
  title =        {A hybrid {SoC} interconnect with dynamic {TDMA-based} transaction-less buses and on-chip networks},
5611
  booktitle =    {VLSI design},
5612
  OPTcrossref =  {},
5613
  OPTkey =       {},
5614
  OPTpages =     {},
5615
  year =         {2006},
5616
  OPTeditor =    {},
5617
  OPTvolume =    {},
5618
  OPTnumber =    {},
5619
  OPTseries =    {},
5620
  OPTaddress =   {},
5621
  month =        {Jan.},
5622
  OPTorganization = {},
5623
  OPTpublisher = {},
5624
  OPTnote =      {},
5625
  OPTannote =    {}
5626
}
5627
 
5628
 
5629
%Jouni Riihimäki, Erno Salminen, Kimmo Kuusilinna, Timo D. Hämäläinen,
5630
%Parameter optimization tool for Enhancing On-Chip Network Performance
5631
%ISCAS, Scottsdale, Arizona, May 26-29, 2002, Vol.IV, pp. 61-64, IEEE.
5632
@InProceedings{riihimaki02,
5633
  author =       {Jouni Riihim\"aki and Erno Salminen and Kimmo Kuusilinna and Timo H\"am\"al\"ainen},
5634
  title =        {Parameter optimization tool for Enhancing On-Chip Network Performance},
5635
  booktitle =    {ISCAS},
5636
  OPTcrossref =  {},
5637
  OPTkey =       {},
5638
  pages =        {61--64},
5639
  year =         {2002},
5640
  OPTeditor =    {},
5641
  OPTvolume =    {},
5642
  OPTnumber =    {},
5643
  OPTseries =    {},
5644
  OPTaddress =   { Scottsdale, AZ, USA},
5645
  month =        {May},
5646
  OPTorganization = {},
5647
  OPTpublisher = {},
5648
  OPTnote =      {},
5649
  OPTannote =    {}
5650
}
5651
 
5652
%Practical Distributed Simulation of a Network of Wireless Terminals [pdf]
5653
%Jouni Riihimäki, Petri Kukkala, Erno Salminen, M. Hännikänen, Kimmo Kuusilinna, and Timo D. Hämäläinen,
5654
%International Symposium on System-on-Chip, Tampere, Finland, November 16-18 2004, pp. 49-52.
5655
@InProceedings{riihimaki04,
5656
  author =       {Jouni Riihim\"aki and Petri Kukkala and Erno Salminen and M. H\"annik\"ainen and Kimmo Kuusilinna and Timo H\"am\"al\"ainen},
5657
  title =        {Practical Distributed Simulation of a Network of Wireless Terminals},
5658
  booktitle =    tresoc,
5659
  OPTcrossref =  {},
5660
  OPTkey =       {},
5661
  pages =        {49--52},
5662
  year =         {2004},
5663
  OPTeditor =    {},
5664
  OPTvolume =    {},
5665
  OPTnumber =    {},
5666
  OPTseries =    {},
5667
  address =      {Tampere, Finland},
5668
  month =        {Nov.},
5669
  OPTorganization = {},
5670
  OPTpublisher = {},
5671
  OPTnote =      {},
5672
  OPTannote =    {}
5673
}
5674
 
5675
 
5676
%T. Rintaluoma, O. Silven and J. Raekallio,
5677
%Interface Overheads in Embedded Multimedia Software,
5678
%SAMOS 2006, pp.5-14.
5679
@InProceedings{rintaluoma06,
5680
  author =       {T. Rintaluoma and O. Silven and J. Raekallio},
5681
  title =        {Interface Overheads in Embedded Multimedia Software},
5682
  booktitle =    {SAMOS VI},
5683
  OPTcrossref =  {},
5684
  OPTkey =       {},
5685
  pages =        {5--14},
5686
  year =         {2006},
5687
  OPTeditor =    {},
5688
  OPTvolume =    {},
5689
  OPTnumber =    {},
5690
  OPTseries =    {},
5691
  OPTaddress =   {Samos, Greece},
5692
  month =        {Jul.},
5693
  OPTorganization = {},
5694
  OPTpublisher = {},
5695
  OPTnote =      {},
5696
  OPTannote =    {}
5697
}
5698
 
5699
%\bibitem {rijpkema03} Rijpkema, E., \emph{et al.}: Trade Offs in the Design of
5700
%  a Router with Both Guaranteed and Best-Effort Services for Network
5701
%  on Chip (Extended version). IEEE Proc. Computers and Digital
5702
%  Techniques, vol 150, issue 5 (2003) 294-302
5703
@Article{rijpkema03,
5704
  author =       {E. Rijpkema and Kees Goossens and A. Radulescu and J. Dielisen and J. van Meerbergen and P. Wielage and E. Waterlander},
5705
  title =        {Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Network on Chip (Extended version)},
5706
  journal =      {IEE Proc. Computers and Digital Techniques},
5707
  year =         {2003},
5708
  OPTkey =       {},
5709
  volume =       {150},
5710
  number =       {5},
5711
  pages =        {294--302},
5712
  month =        {Sep.},
5713
  OPTnote =      {},
5714
  OPTannote =    {}
5715
}
5716
 
5717
 
5718
%S. Rixner, W.J. Dally, B. Khailany, P. Mattson, U.J. Kapasi, J.D. Owens,
5719
%Register organization for media processing,
5720
%Sixth International Symposium on High-Performance Computer Architecture (HPCA-6)
5721
%8-12 Jan. 2000, pp. 375 - 386.
5722
 
5723
 
5724
@InProceedings{rixner00,
5725
  author =       {S. Rixner and W.J. Dally and B. Khailany and P. Mattson and U.J. Kapasi and J.D. Owens},
5726
  title =        {Register organization for media processing},
5727
  booktitle =    {HPCA-6},
5728
  OPTcrossref =  {},
5729
  OPTkey =       {},
5730
  pages =        {375--386},
5731
  year =         {2000},
5732
  OPTeditor =    {},
5733
  OPTvolume =    {},
5734
  OPTnumber =    {},
5735
  OPTseries =    {},
5736
  OPTaddress =   {},
5737
  OPTmonth =     {},
5738
  OPTorganization = {},
5739
  OPTpublisher = {},
5740
  OPTnote =      {},
5741
  OPTannote =    {}
5742
}
5743
 
5744
 
5745
% Why CPU Frequency Stalled
5746
% Ross, P.E. IEEE Spectrum,
5747
% Page(s): 72-72
5748
@Article{ross08,
5749
  author =       {P.E. Ross},
5750
  title =        {Why {CPU }Frequency Stalled},
5751
  journal =      {IEEE Spectrum},
5752
  year =         {2008},
5753
  OPTkey =       {},
5754
  OPTvolume =    {},
5755
  OPTnumber =    {},
5756
  pages =        {72},
5757
  month =        {Apr.},
5758
  OPTnote =      {},
5759
  OPTannote =    {}
5760
}
5761
 
5762
%D. Rostislav, V. Vishnyakov, E. Friedman,  Ran Ginosar,
5763
%An Asynchronous Router for Multiple Service Levels Networks on Chip,
5764
%Proceedings of IEEE International Symposium on Asynchronous Circuits and Systems(ASYNC),
5765
%New York City, NY, USA, 14-16 March 2005, pp. 224-229.
5766
@InProceedings{rostislav05,
5767
  author =       {D. Rostislav and V. Vishnyakov and E. Friedman and  Ran Ginosar},
5768
  title =        {An Asynchronous Router for Multiple Service Levels Networks on Chip},
5769
  booktitle =    {ASYNC},
5770
  OPTcrossref =  {},
5771
  OPTkey =       {},
5772
  pages =        {224--229},
5773
  year =         {2005},
5774
  OPTeditor =    {},
5775
  OPTvolume =    {},
5776
  OPTnumber =    {},
5777
  OPTseries =    {},
5778
  OPTaddress =   {},
5779
  month =        {Mar.},
5780
  OPTorganization = {},
5781
  OPTpublisher = {},
5782
  OPTnote =      {},
5783
  OPTannote =    {}
5784
}
5785
 
5786
@InBook{rowen04,
5787
  author =       {Chris Rowen},
5788
  ALTeditor =    {},
5789
  title =        {Engineering the Complex {SoC}},
5790
  chapter =      {},
5791
  publisher =    {Prentice Hall PTR},
5792
  year =         {2004},
5793
  OPTkey =       {},
5794
  OPTvolume =    {},
5795
  OPTnumber =    {},
5796
  OPTseries =    {},
5797
  OPTtype =      {},
5798
  address =      {Upper Saddle River, NJ, USA},
5799
  edition =      {1st},
5800
  OPTmonth =     {},
5801
  OPTpages =     {},
5802
  OPTnote =      {},
5803
  OPTannote =    {}
5804
}
5805
 
5806
 
5807
 
5808
 
5809
%Chris Rowen, Ashish Dixit, Steve Leibson,
5810
%Low Power SoC Design Using Configurable Processors - The Non-Nuclear Option,
5811
%Symposium on System-On-Chip, Tampere, Finland, 15-17 November 2005.
5812
@InProceedings{rowen05,
5813
  author =       {Chris Rowen and Ashish Dixit and Steve Leibson},
5814
  title =        {Low Power {SoC} Design Using Configurable Processors - The Non-Nuclear Option},
5815
  booktitle =    tresoc,
5816
  OPTcrossref =  {},
5817
  OPTkey =       {},
5818
  pages =        {8--13},
5819
  year =         {2005},
5820
  OPTeditor =    {},
5821
  OPTvolume =    {},
5822
  OPTnumber =    {},
5823
  OPTseries =    {},
5824
  address =      {Tampere, Finland},
5825
  month =        {Nov.},
5826
  OPTorganization = {},
5827
  OPTpublisher = {},
5828
  OPTnote =      {},
5829
  OPTannote =    {}
5830
}
5831
 
5832
 
5833
%\bibitem {rowson97} Rowson, J.A., Sangiovanni-Vincentelli A.:
5834
%  Interface-Based Design. In proc. DAC (1997) 178-183
5835
@InProceedings{rowson97,
5836
  author =       {James A. Rowson and  Alberto Sangiovanni-Vincentelli},
5837
  title =        {Interface-Based Design},
5838
  booktitle =    {DAC},
5839
  OPTcrossref =  {},
5840
  OPTkey =       {},
5841
  pages =        {178--183},
5842
  year =         {1997},
5843
  OPTeditor =    {},
5844
  OPTvolume =    {},
5845
  OPTnumber =    {},
5846
  OPTseries =    {},
5847
  address =      {Anaheim, CA},
5848
  month =        {June},
5849
  OPTorganization = {},
5850
  OPTpublisher = {},
5851
  OPTnote =      {},
5852
  OPTannote =    {}
5853
}
5854
 
5855
@Article{ryu04,
5856
  author =       {Kyeong Keol Ryu and Vincent J. {Mooney III}},
5857
  title =        {Automated Bus Generation for Multiprocessor {SoC} Design},
5858
  journal =      t-cadics,
5859
  year =         {2004},
5860
  OPTkey =       {},
5861
  volume =       {23},
5862
  number =       {11},
5863
  pages =        {1531--1549},
5864
  month =        {Nov.},
5865
  OPTnote =      {},
5866
  OPTannote =    {}
5867
}
5868
 
5869
 
5870
 
5871
@Misc{ramanathan06,
5872
  OPTkey =       {},
5873
  author =       {R. M. Ramanathan},
5874
  title =        {Intel Multi-Core Processors Making the Move to Quad-Core and Beyond},
5875
  howpublished = {Intel Corporation, white paper},
5876
  month =        {Oct.},
5877
  year =         {2006},
5878
  OPTnote =      {},
5879
  OPTannote =    {}
5880
}
5881
 
5882
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
5883
%%
5884
%% SSS
5885
%%
5886
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
5887
 
5888
 
5889
 
5890
%\bibitem {saastamoinen03} Saastamoinen, I. et al.:
5891
%Buffer implementation for Proteo network-on-chip.
5892
%In: Proc. of ISCAS (2003) 113-116
5893
@InProceedings{saastamoinen03,
5894
  author =       {Ilkka Saastamoinen and Mikko Alho and Jari Nurmi},
5895
  title =        {Buffer implementation for {Proteo} network-on-chip},
5896
  booktitle =    {ISCAS},
5897
  OPTcrossref =  {},
5898
  OPTkey =       {},
5899
  pages =        {113--116},
5900
  year =         {2003},
5901
  OPTeditor =    {},
5902
  OPTvolume =    {},
5903
  OPTnumber =    {},
5904
  OPTseries =    {},
5905
  OPTaddress =   {Bangkok, Thailand},
5906
  OPTmonth =     {},
5907
  OPTorganization = {},
5908
  OPTpublisher = {},
5909
  OPTnote =      {},
5910
  OPTannote =    {}
5911
}
5912
 
5913
%Manuel Saldaña, Lesley Shannon, Paul Chow,
5914
%The routability of multiprocessor network topologies in FPGAs,
5915
%Proceedings of the 2006 international workshop on System-level interconnect prediction, Munich, Germany, 2006, pp. 49 - 56
5916
@InProceedings{saldana06,
5917
  author =       {Manuel Saldaña and Lesley Shannon and Paul Chow},
5918
  title =        {The routability of multiprocessor network topologies in {FPGAs}},
5919
  booktitle =    {SLIP},
5920
  OPTcrossref =  {},
5921
  OPTkey =       {},
5922
  pages =        {49--56},
5923
  year =         {2006},
5924
  OPTeditor =    {},
5925
  OPTvolume =    {},
5926
  OPTnumber =    {},
5927
  OPTseries =    {},
5928
  OPTaddress =   {Munich, Germany},
5929
  month =        {Mar.},
5930
  OPTorganization = {},
5931
  OPTpublisher = {},
5932
  OPTnote =      {},
5933
  OPTannote =    {}
5934
}
5935
 
5936
% Erno Salminen, "Interface Design for Multiple Processors in a System-on-Chip Video Encoder", Tampere, Finland, 2001, 88 pages, Tampere University of Technology.
5937
@MastersThesis{salminen01,
5938
  author =       {Erno Salminen},
5939
  title =        {Interface Design for Multiple Processors in a System-on-Chip Video Encode},
5940
  school =       {Tampere University of Technology},
5941
  year =         {2001},
5942
  OPTkey =       {},
5943
  OPTtype =      {},
5944
  OPTaddress =   {},
5945
  OPTmonth =     {},
5946
  OPTnote =      {},
5947
  OPTannote =    {}
5948
}
5949
 
5950
%\bibitem {salminen02} Salminen, E., \emph{et al.}: Overview of Bus-based
5951
%  System-On-Chip Interconnections. In proc. ISCAS (2002) II-372 -
5952
%  II-375.
5953
@InProceedings{salminen02_pois,
5954
  author =       {Erno Salminen and Vesa Lahtinen and Kimmo Kuusilinna and Timo H\"am\"al\"ainen},
5955
  title =        {Overview of Bus-based System-On-Chip Interconnections},
5956
  booktitle =    {ISCAS},
5957
  OPTcrossref =  {},
5958
  OPTkey =       {},
5959
  pages =        {372--375},
5960
  year =         {2002},
5961
  OPTeditor =    {},
5962
  volume =       {2},
5963
  OPTnumber =    {},
5964
  OPTseries =    {},
5965
  address =      {Scottsdale, AZ},
5966
  month =        {May},
5967
  OPTorganization = {},
5968
  OPTpublisher = {},
5969
  OPTnote =      {},
5970
  OPTannote =    {}
5971
}
5972
 
5973
 
5974
 
5975
%T. Salminen and J.-P. Soininen,
5976
%Evaluating application mapping using network simulation,
5977
%Intl. Symposium on System-on-Chip, Tampere,
5978
%Finland, Nov. 2003, pp.27-30.
5979
@InProceedings{salminen03,
5980
  author =       {T. Salminen and J.-P. Soininen},
5981
  title =        {Evaluating application mapping using network simulation},
5982
  booktitle =    tresoc,
5983
  OPTcrossref =  {},
5984
  OPTkey =       {},
5985
  pages =        {27--30},
5986
  year =         {2003},
5987
  OPTeditor =    {},
5988
  OPTvolume =    {},
5989
  OPTnumber =    {},
5990
  OPTseries =    {},
5991
  OPTaddress =   {Tampere, Finland},
5992
  month =        {Nov.},
5993
  OPTorganization = {},
5994
  OPTpublisher = {},
5995
  OPTnote =      {},
5996
  OPTannote =    {}
5997
}
5998
 
5999
 
6000
 
6001
@InProceedings{salminen04,
6002
  author =       {Erno Salminen and Kimmo Kuusilinna and Timo H\"am\"al\"ainen},
6003
  title =        {Comparison of Hardware {IP} components for System-on-Chip},
6004
  booktitle =    tresoc,
6005
  OPTcrossref =  {},
6006
  OPTkey =       {},
6007
  pages =        {69-73},
6008
  year =         {2004},
6009
  OPTeditor =    {},
6010
  OPTvolume =    {},
6011
  OPTnumber =    {},
6012
  OPTseries =    {},
6013
  address =      {Tampere, Finland},
6014
  month =        {Nov.},
6015
  OPTorganization = {},
6016
  OPTpublisher = {},
6017
  OPTnote =      {},
6018
  OPTannote =    {}
6019
}
6020
 
6021
@InProceedings{salminen05_pois,
6022
  author =       {Erno Salminen and Ari Kulmala and Timo H\"am\"al\"ainen},
6023
  title =        {{HIBI}-based Multiprocessor {SoC} on {FPGA}},
6024
  booktitle =    {ISCAS},
6025
  OPTcrossref =  {},
6026
  OPTkey =       {},
6027
  pages =        {3351--3354},
6028
  year =         {2005},
6029
  OPTeditor =    {},
6030
  OPTvolume =    {},
6031
  OPTnumber =    {},
6032
  OPTseries =    {},
6033
  address =      {Kobe, Japan},
6034
  month =        {May},
6035
  OPTorganization = {},
6036
  OPTpublisher = {},
6037
  OPTnote =      {},
6038
  OPTannote =    {}
6039
}
6040
 
6041
 
6042
%Erno Salminen, Tero Kangas, Timo D. Hämäläinen, Jouni Riihimäki,
6043
%"Requirements for Network-on-Chip Benchmarking",
6044
%Norchip, Oulu, Finland, November 21-22, 2005, pp. 82-85.
6045
@InProceedings{salminen05b_pois,
6046
  author =       {Erno Salminen and Tero Kangas and Timo D. H\"am\"al\"ainen and Jouni Riihim\"aki},
6047
  title =        {Requirements for Network-on-Chip Benchmarking},
6048
  booktitle =    {Norchip},
6049
  OPTcrossref =  {},
6050
  OPTkey =       {},
6051
  pages =        {82--85},
6052
  year =         {2005},
6053
  OPTeditor =    {},
6054
  OPTvolume =    {},
6055
  OPTnumber =    {},
6056
  OPTseries =    {},
6057
  OPTaddress =   {Oulu, Finland},
6058
  month =        {Nov.},
6059
  OPTorganization = {},
6060
  OPTpublisher = {},
6061
  OPTnote =      {},
6062
  OPTannote =    {}
6063
}
6064
 
6065
@InProceedings{salminen05b_pois,
6066
  author =       {Erno Salminen and Tero Kangas and Jouni Riihim\"aki and Vesa Lahtinen and Kimmo Kuusilinna and Timo D. H\"am\"al\"ainen},
6067
  title =        {Benchmarking Mesh and Hierarchical Bus Networks in System-on-Chip Context},
6068
  booktitle =    {SAMOS, accepted for publication},
6069
  OPTcrossref =  {},
6070
  OPTkey =       {},
6071
  OPTpages =     {},
6072
  year =         {2005},
6073
  OPTeditor =    {},
6074
  OPTvolume =    {},
6075
  OPTnumber =    {},
6076
  OPTseries =    {},
6077
  address =      {Samos, Greece},
6078
  month =        {Jul.},
6079
  OPTorganization = {},
6080
  OPTpublisher = {},
6081
  OPTnote =      {},
6082
  OPTannote =    {}
6083
}
6084
 
6085
%Erno Salminen, Tero Kangas, Jouni Riihimäki, Vesa Lahtinen, Kimmo Kuusilinna, Timo D. Hämäläinen,
6086
%"HIBI Communication Network for System-on-Chip",
6087
%Journal of VLSI Signal Processing-Systems for Signal, Image, and Video Technology,
6088
%Heidelberg, Berlin, June 1-1, 2005, Springer-Verlag, Submitted
6089
@Article{salminen06_pois,
6090
  author =       {Erno Salminen and Tero Kangas and Jouni Riihim\"aki and Vesa Lahtinen and Kimmo Kuusilinna  and Timo D. H\"am\"al\"ainen},
6091
  title =        {HIBI Communication Network for System-on-Chip},
6092
  journal =      {Journal of VLSI Signal Processing-Systems for Signal, Image, and Video Technology},
6093
  year =         {2006},
6094
  OPTkey =       {},
6095
  volume =       {43},
6096
  number =       {2},
6097
  pages =        {185--205},
6098
  month =        {May},
6099
  OPTnote =      {},
6100
  OPTannote =    {}
6101
}
6102
 
6103
 
6104
@InProceedings{salminen06b_pois,
6105
  author =       {Erno Salminen and Tero Kangas and Timo H\"am\"al\"ainen},
6106
  title =        {The impact of communication on the scalability of the data-parallel video encoder on {MPSoC}},
6107
  booktitle =    tresoc,
6108
  OPTcrossref =  {},
6109
  OPTkey =       {},
6110
  pages =        {191--194},
6111
  year =         {2006},
6112
  OPTeditor =    {},
6113
  OPTvolume =    {},
6114
  OPTnumber =    {},
6115
  OPTseries =    {},
6116
  OPTaddress =   {Tampere, Finland},
6117
  month =        {Nov.},
6118
  OPTorganization = {},
6119
  OPTpublisher = {},
6120
  OPTnote =      {},
6121
  OPTannote =    {}
6122
}
6123
 
6124
 
6125
@Article{salminen07_pois,
6126
  author =       {Erno Salminen and Tero Kangas and Jouni Riihim\"aki and Vesa Lahtinen and Kimmo Kuusilinna and Timo D. H\"am\"al\"ainen},
6127
  title =        {Benchmarking Mesh and Hierarchical Bus Networks in System-on-Chip Context},
6128
  journal =      {Journal of System Architectures},
6129
  year =         {2007},
6130
  OPTkey =       {},
6131
  OPTvolume =    {},
6132
  OPTnumber =    {},
6133
  OPTpages =     {},
6134
  OPTmonth =     {},
6135
  OPTnote =      {},
6136
  OPTannote =    {}
6137
}
6138
 
6139
 
6140
 
6141
 
6142
@PhdThesis{salminen10,
6143
  author =       {Erno Salminen},
6144
  title =        {On Design and Comparison of On-Chip Networks},
6145
  school =       {Tampere University of Technology},
6146
  year =         {2010},
6147
  OPTkey =       {},
6148
  OPTtype =      {},
6149
  OPTaddress =   {},
6150
  Tmonth =       {Feb.},
6151
  OPTnote =      {},
6152
  OPTannote =    {}
6153
}
6154
 
6155
%Samuelsson, H.; Kumar, S.;
6156
%Ring road NoC architecture
6157
%Norchip Conference, 2004. Proceedings
6158
%8-9 Nov. 2004 Page(s):16 - 19
6159
@InProceedings{samuelsson04,
6160
  author =       {H. Samuelsson and S. Kumar},
6161
  title =        {Ring road {NoC} architecture},
6162
  booktitle =    {Norchip},
6163
  OPTcrossref =  {},
6164
  OPTkey =       {},
6165
  pages =        {16--19},
6166
  year =         {2004},
6167
  OPTeditor =    {},
6168
  OPTvolume =    {},
6169
  OPTnumber =    {},
6170
  OPTseries =    {},
6171
  OPTaddress =   {},
6172
  month =        {Nov.},
6173
  OPTorganization = {},
6174
  OPTpublisher = {},
6175
  OPTnote =      {},
6176
  OPTannote =    {}
6177
}
6178
 
6179
 
6180
%A. Sangiovanni-Vincentelli,
6181
%Quo Vadis, SLD? Reasoning About the Trends and Challenges of System Level Design
6182
%Proceedings of the IEEE, Vol. 95, Iss. 3, March 2007, pp. 467 - 506.
6183
@Article{sangiovanni_vincentelli07,
6184
  author =       {A. Sangiovanni-Vincentelli},
6185
  title =        {Quo Vadis, {SLD?} Reasoning About the Trends and Challenges of System Level Design},
6186
  journal =      {Proc. IEEE},
6187
  year =         {2007},
6188
  OPTkey =       {},
6189
  volume =       {95},
6190
  number =       {3},
6191
  pages =        {467--506},
6192
  month =        {Mar.},
6193
  OPTnote =      {},
6194
  OPTannote =    {}
6195
}
6196
 
6197
%S. Santi et al.,
6198
%On the Impact of traffic statistics on quality of service for networks on chip,
6199
%ISCAS, May 2005, pp. 2349-2352.
6200
@InProceedings{santi05,
6201
  author =       {Stefano Santi and Bill Lin and Ljupco Kocarev and Gian Mario Maggio and Riccardo Rovatti and Gianluca Setti},
6202
  title =        {On the Impact of traffic statistics on quality of service for networks on chip},
6203
  booktitle =    {ISCAS},
6204
  OPTcrossref =  {},
6205
  OPTkey =       {},
6206
  pages =        {2349--2352},
6207
  year =         {2005},
6208
  OPTeditor =    {},
6209
  OPTvolume =    {},
6210
  OPTnumber =    {},
6211
  OPTseries =    {},
6212
  OPTaddress =   {Kobe ,Japan},
6213
  month =        {May},
6214
  OPTorganization = {},
6215
  OPTpublisher = {},
6216
  OPTnote =      {},
6217
  OPTannote =    {}
6218
}
6219
 
6220
 
6221
 
6222
%Sathe, S.; Wiklund, D.; Liu, D.;
6223
%Design of a switching node (router) for on-chip networks
6224
%ASIC, 2003. Proceedings. 5th International Conference on
6225
%Volume 1,  21-24 Oct. 2003 Page(s):75 - 78 Vol.1
6226
@InProceedings{sathe03,
6227
  author =       {S. Sathe and D. Wiklund and D. Liu},
6228
  title =        {Design of a switching node (router) for on-chip networks},
6229
  booktitle =    {ASIC},
6230
  OPTcrossref =  {},
6231
  OPTkey =       {},
6232
  pages =        {75--78},
6233
  year =         {2003},
6234
  OPTeditor =    {},
6235
  OPTvolume =    {1},
6236
  OPTnumber =    {},
6237
  OPTseries =    {},
6238
  OPTaddress =   {},
6239
  month =        {Oct.},
6240
  OPTorganization = {},
6241
  OPTpublisher = {},
6242
  OPTnote =      {},
6243
  OPTannote =    {}
6244
}
6245
 
6246
%\bibitem {scherson94} Scherson, I.D., Youssef A.S.:
6247
%  Interconnection Networks for High-Performance Parallel Computers.
6248
%  IEEE Computer Society Press, Los Alamitos, CA (1994)
6249
@Book{scherson94,
6250
  ALTauthor =    {},
6251
  editor =       {I.D. Scherson and A.S. Youssef},
6252
  title =        {Interconnection Networks for High-Performance Parallel Computers},
6253
  publisher =    {IEEE Computer Society Press},
6254
  year =         {1994},
6255
  OPTkey =       {},
6256
  OPTvolume =    {},
6257
  OPTnumber =    {},
6258
  OPTseries =    {},
6259
  address =      {Los Alamitos, CA},
6260
  OPTedition =   {},
6261
  OPTmonth =     {},
6262
  OPTnote =      {},
6263
  OPTannote =    {}
6264
}
6265
 
6266
 
6267
 
6268
%Dipanjan Sengupta and Resve Saleh,
6269
%Generalized Power-Delay Metrics in Deep Submicron CMOS Designs,
6270
%IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Vol. 26, No. 1, Jan. 2007, pp. 183--189.
6271
@Article{sengupta07,
6272
  author =       {Dipanjan Sengupta and Resve Saleh},
6273
  title =        {Generalized Power-Delay Metrics in Deep Submicron {CMOS} Designs},
6274
  journal =      t-cadics,
6275
  year =         {2007},
6276
  OPTkey =       {},
6277
  volume =       {26},
6278
  number =       {1},
6279
  pages =        {183--189},
6280
  month =        {Jan.},
6281
  OPTnote =      {},
6282
  OPTannote =    {}
6283
}
6284
 
6285
 
6286
 
6287
%Mikko Setälä, Petri Kukkala, Tero Arpinen, Marko Hännikäinen, Timo D. Hämäläinen,
6288
%Automated Distribution of UML 2.0 Designed Applications to a Configurable Multiprocessor Platform,
6289
%Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS VI) - Special Session on System Design and Modeling,
6290
%Samos, Greece, July 17-20, 2006, pp. 27-38.
6291
@InProceedings{setala06,
6292
  author =       {Mikko Set\"al\"a and Petri Kukkala and Tero Arpinen and Marko H\"annik\"ainen and Timo D. H\"am\"al\"ainen},
6293
  title =        {Automated Distribution of {UML 2.0} Designed Applications to a Configurable Multiprocessor Platform},
6294
  booktitle =    {SAMOS VI},
6295
  OPTcrossref =  {},
6296
  OPTkey =       {},
6297
  pages =        {27--38},
6298
  OPTyear =      {2006},
6299
  OPTeditor =    {},
6300
  OPTvolume =    {},
6301
  OPTnumber =    {},
6302
  OPTseries =    {},
6303
  OPTaddress =   {Samos, Greece},
6304
  month =        {Jul.},
6305
  OPTorganization = {},
6306
  OPTpublisher = {},
6307
  OPTnote =      {},
6308
  OPTannote =    {}
6309
}
6310
 
6311
%M. Sgroi, M. Sheets, A. Mihal, K. Keutzer, S. Malik, J. Rabaey, A. Sangiovanni-Vincentelli ,
6312
%Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design
6313
%Design Automation Conference, 2001. Proceedings , 2001 Page(s): 667 -672
6314
@InProceedings{sgroi01,
6315
  author =       {M. Sgroi and M. Sheets and A. Mihal and K. Keutzer and S. Malik and J. Rabaey and A. Sangiovanni-Vincentelli},
6316
  title =        {Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design},
6317
  booktitle =    {DAC},
6318
  OPTcrossref =  {},
6319
  OPTkey =       {},
6320
  pages =        {667--672},
6321
  year =         {2001},
6322
  OPTeditor =    {},
6323
  OPTvolume =    {},
6324
  OPTnumber =    {},
6325
  OPTseries =    {},
6326
  OPTaddress =   {},
6327
  OPTmonth =     {},
6328
  OPTorganization = {},
6329
  OPTpublisher = {},
6330
  OPTnote =      {},
6331
  OPTannote =    {}
6332
}
6333
 
6334
 
6335
 
6336
%Jih-Sheng Shen; Kuei-Chung Chang; Tien-Fu Chen;
6337
%On a design of crossroad switches for low-power on-chip communication architectures
6338
%Circuits and Systems, 2006. ISCAS 2006. Proceedings. 2006 IEEE International Symposium on
6339
%21-24 May 2006 Page(s):4 pp.
6340
@InProceedings{shen06,
6341
  author =       {Jih-Sheng Shen and Kuei-Chung Chang and Tien-Fu Chen},
6342
  title =        {On a design of crossroad switches for low-power on-chip communication architectures},
6343
  booktitle =    {ISCAS},
6344
  OPTcrossref =  {},
6345
  OPTkey =       {},
6346
  OPTpages =     {},
6347
  year =         {2006},
6348
  OPTeditor =    {},
6349
  OPTvolume =    {},
6350
  OPTnumber =    {},
6351
  OPTseries =    {},
6352
  OPTaddress =   {},
6353
  month =        {May},
6354
  OPTorganization = {},
6355
  OPTpublisher = {},
6356
  OPTnote =      {},
6357
  OPTannote =    {}
6358
}
6359
 
6360
%Issues in the development of a practical NoC: the Proteo concept,
6361
%D. Sigüenza-Tortosa, T. Ahonen, J. Nurmi,
6362
%Integration, the VLSI Journal,
6363
%Vol. 38, Iss. 1, Oct. 2004, pp. 95-105.
6364
@Article{siguenza04,
6365
  author =       {David Sig\"uenza-Tortosa and Tapani Ahonen and Jari Nurmi},
6366
  title =        {Issues in the development of a practical {NoC}: the {Proteo} concept},
6367
  journal =      {Integration, the VLSI Journal},
6368
  year =         {2004},
6369
  OPTkey =       {},
6370
  volume =       {38},
6371
  number =       {1},
6372
  pages =        {95--105},
6373
  month =        {Oct.},
6374
  OPTnote =      {},
6375
  OPTannote =    {}
6376
}
6377
 
6378
%K. Skadron, M. Martonosi, D.I. August, M.D. Hill, D.J. Lilja, V.S. Pai,
6379
%Challenges in computer architecture evaluation,
6380
%Computer, Vol. 36,  Iss. 8,  Aug. 2003, pp. 30 - 36.
6381
@Article{skadron03,
6382
  author =       {K. Skadron and M. Martonosi and D.I. August and M.D. Hill and D.J. Lilja and V.S. Pai},
6383
  title =        {Challenges in computer architecture evaluation},
6384
  journal =      {IEEE Computer},
6385
  year =         {2003},
6386
  OPTkey =       {},
6387
  volume =       {36},
6388
  number =       {8},
6389
  pages =        {30--36},
6390
  month =        {Aug.},
6391
  OPTnote =      {},
6392
  OPTannote =    {}
6393
}
6394
 
6395
%Extending platform-based design to network on chip systems
6396
%Soininen, J.-P.; Jantsch, A.; Forsell, M.; Pelkonen, A.; Kreku, J.; Kumar, S.;
6397
%VLSI Design, 2003. Proceedings. 16th International Conference on , 4-8 Jan. 2003
6398
%Page(s): 401 -408
6399
@InProceedings{soininen03,
6400
  author =       {J.-P. Soininen and A. Jantsch and M. Forsell and A. Pelkonen and J. Kreku and S. Kumar},
6401
  title =        {Extending platform-based design to network on chip systems},
6402
  booktitle =    {VLSI Design},
6403
  OPTcrossref =  {},
6404
  OPTkey =       {},
6405
  pages =        {401--408},
6406
  year =         {2003},
6407
  OPTeditor =    {},
6408
  OPTvolume =    {},
6409
  OPTnumber =    {},
6410
  OPTseries =    {},
6411
  OPTaddress =   {},
6412
  month =        {Jan.},
6413
  OPTorganization = {},
6414
  OPTpublisher = {},
6415
  OPTnote =      {},
6416
  OPTannote =    {}
6417
}
6418
 
6419
%\bibitem {sonics00} Sonics Inc.: Sonics uNetworks Technical Overview
6420
%  Revision A21-1. (2000)
6421
@Manual{sonics00,
6422
  title =        {Sonics MicroNetworks Technical Overview Revision},
6423
  OPTkey =       {},
6424
  OPTauthor =    {},
6425
  organization = {Sonics Inc.},
6426
  OPTaddress =   {},
6427
  edition =      {{A21-1}},
6428
  month =        {June},
6429
  year =         {2000},
6430
  OPTnote =      {},
6431
  OPTannote =    {}
6432
}
6433
%V. Soteriou,  Hangsheng Wang, L. Peh,
6434
%A Statistical Traffic Model for On-Chip Interconnection Networks,
6435
%14th IEEE International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS),
6436
%11-14 Sept. 2006, pp. 104 - 116.
6437
@InProceedings{soteriou06,
6438
  author =       {Vassos Soteriou and  Hangsheng Wang and Li-Shiuan Peh},
6439
  title =        {A Statistical Traffic Model for On-Chip Interconnection Networks},
6440
  booktitle =    {MASCOTS},
6441
  OPTcrossref =  {},
6442
  OPTkey =       {},
6443
  pages =        {104--116},
6444
  year =         {2006},
6445
  OPTeditor =    {},
6446
  OPTvolume =    {},
6447
  OPTnumber =    {},
6448
  OPTseries =    {},
6449
  OPTaddress =   {},
6450
  month =        {Sep.},
6451
  OPTorganization = {},
6452
  OPTpublisher = {},
6453
  OPTnote =      {},
6454
  OPTannote =    {}
6455
}
6456
 
6457
 
6458
% Vassos Soteriou, Noel Eisley, Hangsheng Wang, Bin Li, and Li-Shiuan Peh,
6459
% Polaris: A System-Level Roadmapping Toolchain for On-Chip Interconnection Networks
6460
%
6461
@Article{soteriou07,
6462
  author =       {Vassos Soteriou and Noel Eisley and Hangsheng Wang and Bin Li and Li-Shiuan Peh},
6463
  title =        {Polaris: A System-Level Roadmapping Toolchain for On-Chip Interconnection Networks},
6464
  journal =      t-vlsi,
6465
  year =         {2007},
6466
  OPTkey =       {},
6467
  volume =       {15},
6468
  number =       {8},
6469
  pages =        {855--868},
6470
  month =        {Aug.},
6471
  OPTnote =      {},
6472
  OPTannote =    {}
6473
}
6474
 
6475
%The Standard Performance Evaluation Corporation, OpenMP Benchmark Suite, [online], http://www.spec.org/omp2001/, visited May 2005.
6476
@Misc{spec01,
6477
  OPTkey =       {},
6478
  author =       {{Standard Performance Evaluation Corporation}},
6479
  title =        {{OpenMP} Benchmark Suite},
6480
  howpublished = {[online], http://www.spec.org/omp2001/},
6481
  month =        {May},
6482
  year =         {2005},
6483
  OPTnote =      {},
6484
  OPTannote =    {}
6485
}
6486
 
6487
 
6488
 
6489
%\bibitem {spirit04}SPIRIT Schema Working Group, SPIRIT-User guide
6490
%  v1.0, Dec. 2004, [online] http://www.spiritconsortium.org/.
6491
 
6492
@Misc{spirit04,
6493
  OPTkey =       {},
6494
  author =       {{SPIRIT Schema Working Group}},
6495
  title =        {SPIRIT-User guide v1.0},
6496
  howpublished = {[online] http://www.spiritconsortium.org/},
6497
  month =        {Dec.},
6498
  year =         {2004},
6499
  OPTnote =      {},
6500
  OPTannote =    {}
6501
}
6502
 
6503
 
6504
 
6505
% Application Specific Network-on-Chip Design with Guaranteed Quality Approximation Algorithms
6506
%Srinivasan, Krishnan; Chatha, Karam S.; Konjevod, Goran;
6507
%Design Automation Conference, 2007. ASP-DAC '07. Asia and South Pacific
6508
%Jan. 2007 Page(s):184 - 190
6509
%Digital Object Identifier 10.1109/ASPDAC.2007.357983
6510
@InProceedings{srinivasan07,
6511
  author =       {Krishnan Srinivasan and Karam S. Chatha and Goran; Konjevod},
6512
  title =        {Application Specific Network-on-Chip Design with Guaranteed Quality Approximation Algorithms},
6513
  booktitle =    {ASP-DAC},
6514
  OPTcrossref =  {},
6515
  OPTkey =       {},
6516
  pages =        {184--190},
6517
  year =         {2007},
6518
  OPTeditor =    {},
6519
  OPTvolume =    {},
6520
  OPTnumber =    {},
6521
  OPTseries =    {},
6522
  OPTaddress =   {},
6523
  month =        {Jan.},
6524
  OPTorganization = {},
6525
  OPTpublisher = {},
6526
  OPTnote =      {},
6527
  OPTannote =    {}
6528
}
6529
 
6530
%D. Stroobandt, P. Verplaetse, J. van Campenhout,
6531
% Generating synthetic benchmark circuits for evaluating CAD tools,
6532
% IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,
6533
%Vol. 19,  Iss. 9,  Sept. 2000, pp. 1011 - 1022.
6534
 
6535
@Article{stroobandt00,
6536
  author =       {D. Stroobandt and P. Verplaetse and J. {van Campenhout}},
6537
  title =        {Generating synthetic benchmark circuits for evaluating {CAD} tools},
6538
  journal =      t-cadics,
6539
  year =         {2000},
6540
  OPTkey =       {},
6541
  volume =       {19},
6542
  number =       {9},
6543
  pages =        {1011--1022},
6544
  month =        {Sep.},
6545
  OPTnote =      {},
6546
  OPTannote =    {}
6547
}
6548
 
6549
%D. Sylvester, K.Keutzer,
6550
%Impact of small process geometries on microarchitectures in systems on a chip,
6551
%Proceedings of the IEEE,
6552
%Vol. 89, Iss. 4, Apr. 2001, pp. 467 -489.
6553
 
6554
@Article{sylvester01,
6555
  author =       {Dennis Sylvester and Kurt Keutzer},
6556
  title =        {Impact of small process geometries on microarchitectures in systems on a chip},
6557
  journal =      {Proc. IEEE},
6558
  year =         {2001},
6559
  OPTkey =       {},
6560
  volume =       {89},
6561
  number =       {4},
6562
  pages =        {467--489},
6563
  month =        {Apr.},
6564
  OPTnote =      {},
6565
  OPTannote =    {}
6566
}
6567
 
6568
 
6569
 
6570
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
6571
%%
6572
%% TTT
6573
%%
6574
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
6575
 
6576
 
6577
%\bibitem {thid03} Thid, R. et al.:
6578
%Evaluating NoC communication backbones with simulation.
6579
%In: Proc. of Norchip, Riga, Latvia, (2003) 27-30
6580
@InProceedings{thid03,
6581
  author =       {Rikard Thid and Mikael Millberg and Axel Jantsch},
6582
  title =        {Evaluating {NoC} communication backbones with simulation},
6583
  booktitle =    {Norchip},
6584
  OPTcrossref =  {},
6585
  OPTkey =       {},
6586
  pages =        {27--30},
6587
  year =         {2003},
6588
  OPTeditor =    {},
6589
  OPTvolume =    {},
6590
  OPTnumber =    {},
6591
  OPTseries =    {},
6592
  OPTaddress =   {Riga, Latvia},
6593
  month =        {Nov.},
6594
  OPTorganization = {},
6595
  OPTpublisher = {},
6596
  OPTnote =      {},
6597
  OPTannote =    {}
6598
}
6599
 
6600
% Rikard Thid, Ingo Sander, and Axel Jantsch.
6601
%Flexible bus and NoC performance analysis with configurable synthetic workloads.
6602
%In 9th Euromicro Conference on Digital System Design (DSD 2006), August 2006.
6603
@InProceedings{thid06,
6604
  author =       {Rikard Thid and Ingo Sander and Axel Jantsch},
6605
  title =        {Flexible bus and {NoC} performance analysis with configurable synthetic workloads},
6606
  booktitle =    {Euromicro DSD},
6607
  OPTcrossref =  {},
6608
  OPTkey =       {},
6609
  pages =        {681--688},
6610
  year =         {2006},
6611
  OPTeditor =    {},
6612
  OPTvolume =    {},
6613
  OPTnumber =    {},
6614
  OPTseries =    {},
6615
  OPTaddress =   {},
6616
  OPTmonth =     {Aug.},
6617
  OPTorganization = {},
6618
  OPTpublisher = {},
6619
  OPTnote =      {},
6620
  OPTannote =    {}
6621
}
6622
 
6623
 
6624
%Jim Turley, Survey says: software tools more important than chips,
6625
%Embedded Systems Design, 04/11/05,
6626
%[online] http://www.embedded.com/showArticle.jhtml?articleID=160700620.
6627
@Misc{turley05,
6628
  OPTkey =       {},
6629
  author =       {Jim Turley},
6630
  title =        {Survey says: software tools more important than chips},
6631
  howpublished = {Embedded Systems Design, [online], http://www.embedded.com/showArticle.jhtml?articleID=160700620},
6632
  month =        {Nov.},
6633
  year =         {2005},
6634
  OPTnote =      {},
6635
  OPTannote =    {}
6636
}
6637
 
6638
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
6639
%%
6640
%% UUU
6641
%%
6642
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
6643
 
6644
 
6645
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
6646
%%
6647
%% VVV, WWW
6648
%%
6649
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
6650
 
6651
 
6652
%\bibitem {valtonen01} Valtonen, T., \emph{et al.}: An Autonomous
6653
%  Error-tolerant Cell for Scalable Network-on-Chip Architectures. In
6654
%  proc. Norchip (2001) 198-203
6655
@InProceedings{valtonen01,
6656
  author =       {Tuomas Valtonen and Tero Nurmi and Jouni Isoaho and Hannu Tenhunen},
6657
  title =        {An Autonomous Error-tolerant Cell for Scalable Network-on-Chip Architectures},
6658
  booktitle =    {Norchip},
6659
  OPTcrossref =  {},
6660
  OPTkey =       {},
6661
  pages =        {198--203},
6662
  year =         {2001},
6663
  OPTeditor =    {},
6664
  OPTvolume =    {},
6665
  OPTnumber =    {},
6666
  OPTseries =    {},
6667
  OPTaddress =   {Kista, Sweden},
6668
  month =        {Nov.},
6669
  OPTorganization = {},
6670
  OPTpublisher = {},
6671
  OPTnote =      {},
6672
  OPTannote =    {}
6673
}
6674
 
6675
 
6676
% Varadarajan, V.; Mittra, R.;
6677
%Finite-difference time-domain (FDTD) analysis using distributed computing
6678
%Microwave and Guided Wave Letters, IEEE [see also IEEE Microwave and Wireless Components Letters]
6679
%Volume 4,  Issue 5,  May 1994 Page(s):144 - 145
6680
@Article{varadarajan94,
6681
  author =       {V. Varadarajan and R. Mittra},
6682
  title =        {Finite-difference time-domain {(FDTD)} analysis using distributed computing},
6683
  journal =      {IEEE Microwave and Guided Wave Letters},
6684
  year =         {1994},
6685
  OPTkey =       {},
6686
  volume =       {4},
6687
  number =       {5},
6688
  pages =        {144--145},
6689
  month =        {May},
6690
  OPTnote =      {},
6691
  OPTannote =    {}
6692
}
6693
 
6694
%An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS
6695
%Vangal, S.R.; Howard, J.; Ruhl, G.; Dighe, S.; Wilson, H.; Tschanz, J.; Finan, D.; Singh, A.; Jacob, T.; Jain, S.; Erraguntla, V.; Roberts, C.; Hoskote, Y.; Borkar, N.; Borkar, S.;
6696
%Solid-State Circuits, IEEE Journal of
6697
%Volume 43,  Issue 1,  Jan. 2008 Page(s):29 - 41
6698
%Digital Object Identifier 10.1109/JSSC.2007.910957
6699
@Article{vangal07,
6700
  author =       {S.R. Vangal and others},
6701
  title =        {An 80-Tile {Sub-100-W TeraFLOPS} Processor in 65-nm {CMOS}},
6702
  journal =      j-ssc,
6703
  year =         {2008},
6704
  OPTkey =       {},
6705
  volume =       {43},
6706
  number =       {1},
6707
  pages =        {29--41},
6708
  month =        {Jan.},
6709
  OPTnote =      {},
6710
  OPTannote =    {}
6711
}
6712
 
6713
%\bibitem {varma94} Varma, A., Raghavendra, C.S. (eds.):
6714
%  Interconnection Networks for Multiprocessors and Multicomputers
6715
%  Theory and Practice.  IEEE Computer Society Press, Los Alamitos, CA
6716
%  (1994)
6717
@Book{varma94,
6718
  ALTauthor =    {},
6719
  editor =       {A. Varma and C.S. Raghavendra},
6720
  title =        {Interconnection Networks for Multiprocessors and Multicomputers Theory and Practice},
6721
  publisher =    {IEEE Computer Society Press},
6722
  year =         {1994},
6723
  OPTkey =       {},
6724
  OPTvolume =    {},
6725
  OPTnumber =    {},
6726
  OPTseries =    {},
6727
  address =      {Los Alamitos, CA},
6728
  OPTedition =   {},
6729
  OPTmonth =     {},
6730
  OPTnote =      {},
6731
  OPTannote =    {}
6732
}
6733
 
6734
@InProceedings{vassiliadis06,
6735
  author =       {Stamatis Vassiliadis and Ioannis Sourdis},
6736
  title =        {Reconfigurable Fabric Interconnects},
6737
  booktitle =    tresoc,
6738
  OPTcrossref =  {},
6739
  OPTkey =       {},
6740
  pages =        {41-44},
6741
  year =         {2006},
6742
  OPTeditor =    {},
6743
  OPTvolume =    {},
6744
  OPTnumber =    {},
6745
  OPTseries =    {},
6746
  OPTaddress =   {Tampere, Finland},
6747
  month =        {Nov.},
6748
  OPTorganization = {},
6749
  OPTpublisher = {},
6750
  OPTnote =      {},
6751
  OPTannote =    {}
6752
}
6753
 
6754
@Article{vellanki05,
6755
  author =       {Praveen Vellanki and Nilanjan Banerjee and Karam S. Chatha},
6756
  title =        {Quality-of-service and error control techniques for mesh-based network-on-chip architectures},
6757
  journal =      {Integration, the VLSI Journal},
6758
  year =         {2005},
6759
  OPTkey =       {},
6760
  volume =       {38},
6761
  number =       {3},
6762
  pages =        {353--382},
6763
  month =        {Jan.},
6764
  OPTnote =      {},
6765
  OPTannote =    {}
6766
}
6767
 
6768
 
6769
 
6770
%V. Venkatachalam, M. Franz, Power reduction techniques for microprocessor systems,
6771
%ACM Computing Surveys, Vol. 37, Iss. 3, Sep. 2005, pp. 195 - 237
6772
@Article{venkatachalam05,
6773
  author =       {V. Venkatachalam and M. Franz},
6774
  title =        {Power reduction techniques for microprocessor systems},
6775
  journal =      {ACM Computing Surveys},
6776
  year =         {2005},
6777
  OPTkey =       {},
6778
  volume =       {37},
6779
  number =       {3},
6780
  pages =        {195--237},
6781
  month =        {Sep.},
6782
  OPTnote =      {},
6783
  OPTannote =    {}
6784
}
6785
 
6786
%Flávio R. Wagner, Wander O. Cesário, Luigi Carro and Ahmed A. Jerraya,
6787
%Strategies for the integration of hardware and software IP components in embedded systems-on-chip,
6788
%Integration, the VLSI Journal,
6789
%September 2004,
6790
%Vol. 37, Iss. 4, pp. 191-356.
6791
@Article{wagner04,
6792
  author =       {Flávio R. Wagner and Wander O. Cesário and Luigi Carro and Ahmed A. Jerraya},
6793
  title =        {Strategies for the integration of hardware and software {IP} components in embedded systems-on-chip},
6794
  journal =      {Integration, the VLSI Journal},
6795
  year =         {2004},
6796
  OPTkey =       {},
6797
  volume =       {37},
6798
  number =       {4},
6799
  pages =        {223-252},
6800
  month =        {Sep.},
6801
  OPTnote =      {},
6802
  OPTannote =    {}
6803
}
6804
 
6805
 
6806
 
6807
%M. Wang, N. H. Chan, S. Papadimitriou, C. Faloutsos, and T. Madhyastha.
6808
%Data Mining Meets Performance Evaluation: Fast Algorithms for Modeling Bursty Traffic.
6809
%In Proceedings of the 18th International Conference on Data Engineering,
6810
%pages 507-516, February 2002.
6811
@InProceedings{wang02,
6812
  author =       {M. Wang and N. H. Chan and S. Papadimitriou and C. Faloutsos and T. Madhyastha},
6813
  title =        {Data Mining Meets Performance Evaluation: Fast Algorithms for Modeling Bursty Traffic},
6814
  booktitle =    {International Conference on Data Engineering},
6815
  OPTcrossref =  {},
6816
  OPTkey =       {},
6817
  pages =        {507--516},
6818
  year =         {2002},
6819
  OPTeditor =    {},
6820
  OPTvolume =    {},
6821
  OPTnumber =    {},
6822
  OPTseries =    {},
6823
  OPTaddress =   {},
6824
  month =        {Feb.},
6825
  OPTorganization = {},
6826
  OPTpublisher = {},
6827
  OPTnote =      {},
6828
  OPTannote =    {}
6829
}
6830
 
6831
 
6832
%PMCNOC: A Pipelining Multi-Channel Central Caching Network-on-Chip Communication Architecture Design
6833
%Wang, Nan; Sanusi, Azeez; Zhao, Peiyi; Mohamed, Shaheen; Bayoumi, Magdy A.;
6834
%Signal Processing Systems, 2007 IEEE Workshop on
6835
%17-19 Oct. 2007 Page(s):487 - 492
6836
@InProceedings{wang07,
6837
  author =       {Nan Wang and Azeez Sanusi and Peiyi Zhao and Shaheen Mohamed and Magdy A. Bayoumi},
6838
  title =        {{PMCNOC}: A Pipelining Multi-Channel Central Caching Network-on-Chip Communication Architecture Design},
6839
  booktitle =    {SiPS},
6840
  OPTcrossref =  {},
6841
  OPTkey =       {},
6842
  pages =        {487--492},
6843
  year =         {2007},
6844
  OPTeditor =    {},
6845
  OPTvolume =    {},
6846
  OPTnumber =    {},
6847
  OPTseries =    {},
6848
  OPTaddress =   {},
6849
  month =        {Oct.},
6850
  OPTorganization = {},
6851
  OPTpublisher = {},
6852
  OPTnote =      {},
6853
  OPTannote =    {}
6854
}
6855
 
6856
%A.R. Weiss,
6857
% Dhrystone Benchmark - History, analysis, "scores" and recommendations,
6858
%white paper, EEMBC Certification Laboratories, Austin, TX, Nov. 2002.
6859
@Misc{weiss02,
6860
  OPTkey =       {},
6861
  author =       {A.R. Weiss},
6862
  title =        {Dhrystone Benchmark - History, analysis, "scores" and recommendations},
6863
  howpublished = {EEMBC Certification Laboratories, white paper},
6864
  month =        {Nov.},
6865
  year =         {2002},
6866
  OPTnote =      {},
6867
  OPTannote =    {}
6868
}
6869
 
6870
%R.P. Weicker,
6871
%An overview of common benchmarks,
6872
%Computer, Vol. 23, Iss. 12, Dec. 1990, pp. 65-75.
6873
@Article{Weicker90,
6874
  author =       {R.P. Weicker},
6875
  title =        {An overview of common benchmarks},
6876
  journal =      {IEEE Computer},
6877
  year =         {1990},
6878
  OPTkey =       {},
6879
  volume =       {23},
6880
  number =       {12},
6881
  pages =        {65--75},
6882
  month =        {Dec.},
6883
  OPTnote =      {},
6884
  OPTannote =    {}
6885
}
6886
 
6887
 
6888
 
6889
%P.Wielage,  K. Goossens,
6890
%Networks on silicon: blessing or nightmare?,
6891
%Digital System Design, 2002. Proceedings. Euromicro Symposium on
6892
%4-6 Sept. 2002, pp. 196 - 200.
6893
@InProceedings{wielage02,
6894
  author =       {P. Wielage and  K. Goossens},
6895
  title =        {Networks on silicon: blessing or nightmare?},
6896
  booktitle =    {Euromicro DSD},
6897
  OPTcrossref =  {},
6898
  OPTkey =       {},
6899
  pages =        {196--200},
6900
  year =         {2002},
6901
  OPTeditor =    {},
6902
  OPTvolume =    {},
6903
  OPTnumber =    {},
6904
  OPTseries =    {},
6905
  OPTaddress =   {},
6906
  month =        {Sep.},
6907
  OPTorganization = {},
6908
  OPTpublisher = {},
6909
  OPTnote =      {},
6910
  OPTannote =    {}
6911
}
6912
 
6913
 
6914
 
6915
%Daniel Wiklund and Dake Liu
6916
%Switched interconnect for system-on-a-chip designs
6917
%Proc of the IP2000 Europe conference, Edinburgh, Scotland, Oct 2000
6918
@InProceedings{wiklund00,
6919
  author =       {Daniel Wiklund and Dake Liu},
6920
  title =        {Switched interconnect for system-on-a-chip designs},
6921
  booktitle =    {IP2000},
6922
  OPTcrossref =  {},
6923
  OPTkey =       {},
6924
  pages =        {198--192},
6925
  year =         {2000},
6926
  OPTeditor =    {},
6927
  OPTvolume =    {},
6928
  OPTnumber =    {},
6929
  OPTseries =    {},
6930
  OPTaddress =   {Edinburgh, Scotland},
6931
  month =        {Oct.},
6932
  OPTorganization = {},
6933
  OPTpublisher = {},
6934
  OPTnote =      {},
6935
  OPTannote =    {}
6936
}
6937
 
6938
%Daniel Wiklund and Dake Liu
6939
%SoCBUS: Switched Network on Chip for Hard Real Time Systems
6940
%Proc of the International Parallel and Distributed Processing Symposium (IPDPS), Nice, France, Apr 2003
6941
@InProceedings{wiklund03,
6942
  author =       {Daniel Wiklund and Dake Liu},
6943
  title =        {SoCBUS: Switched Network on Chip for Hard Real Time Systems},
6944
  booktitle =    {IPDPS},
6945
  OPTcrossref =  {},
6946
  OPTkey =       {},
6947
  OPTpages =     {},
6948
  year =         {2003},
6949
  OPTeditor =    {},
6950
  OPTvolume =    {},
6951
  OPTnumber =    {},
6952
  OPTseries =    {},
6953
  OPTaddress =   {},
6954
  month =        {Apr.},
6955
  OPTorganization = {},
6956
  OPTpublisher = {},
6957
  OPTnote =      {},
6958
  OPTannote =    {}
6959
}
6960
 
6961
%\bibitem {wiklund04} Wiklund, D. et al.:
6962
%Network on chip simulations for benchmarking.
6963
%In: Proc. of IWSOC (2004) 269-274
6964
@InProceedings{wiklund04,
6965
  author =       {Daniel Wiklund and Sumant Sathe and Dake Liu},
6966
  title =        {Network on chip simulations for benchmarking},
6967
  booktitle =    {IWSOC},
6968
  OPTcrossref =  {},
6969
  OPTkey =       {},
6970
  pages =        {269--274},
6971
  year =         {2004},
6972
  OPTeditor =    {},
6973
  OPTvolume =    {},
6974
  OPTnumber =    {},
6975
  OPTseries =    {},
6976
  OPTaddress =   {Banff, Canada},
6977
  OPTmonth =     {},
6978
  OPTorganization = {},
6979
  OPTpublisher = {},
6980
  OPTnote =      {},
6981
  OPTannote =    {}
6982
}
6983
 
6984
 
6985
 
6986
%D. Wiklund,
6987
%Development and performance evaluation of networks on chip,
6988
%PhD thesis, No. 932, Linköpings universitet, Apr. 2005.
6989
@PhdThesis{wiklund05,
6990
  author =       {Daniel Wiklund},
6991
  title =        {Development and performance evaluation of networks on chip},
6992
  school =       {Link\"oping university},
6993
  year =         {2005},
6994
  OPTkey =       {},
6995
  OPTtype =      {},
6996
  OPTaddress =   {Link\"oping, Sweden},
6997
  month =        {Apr.},
6998
  OPTnote =      {},
6999
  OPTannote =    {}
7000
}
7001
 
7002
 
7003
 
7004
 
7005
@Article{wolf08,
7006
  author =       {W. Wolf and A.A. Jerraya and G. Martin},
7007
  title =        {Multiprocessor System-on-Chip{(MPSoC)} Technology},
7008
  journal =      t-cadics,
7009
  year =         {2008},
7010
  OPTkey =       {},
7011
  volume =       {27},
7012
  number =       {10},
7013
  pages =        {1701--1713},
7014
  month =        {Oct.},
7015
  OPTnote =      {},
7016
  OPTannote =    {}
7017
}
7018
 
7019
%S.C. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta,
7020
% The SPLASH-2 programs: characterization and methodological considerations,
7021
%International Symposium on Computer Architecture (ISCA),
7022
%Santa Margherita Ligure, Italy, 22-24 Jun 1995, pp. 24 - 36.
7023
@InProceedings{woo95,
7024
  author =       {S.C. Woo and M. Ohara and E. Torrie and J.P. Singh and A. Gupta},
7025
  title =        {The {SPLASH-2} programs: characterization and methodological considerations},
7026
  booktitle =    {ISCA},
7027
  OPTcrossref =  {},
7028
  OPTkey =       {},
7029
  pages =        {24--36},
7030
  year =         {1995},
7031
  OPTeditor =    {},
7032
  OPTvolume =    {},
7033
  OPTnumber =    {},
7034
  OPTseries =    {},
7035
  OPTaddress =   {Santa Margherita Ligure, Italy},
7036
  month =        {Jun.},
7037
  OPTorganization = {},
7038
  OPTpublisher = {},
7039
  OPTnote =      {},
7040
  OPTannote =    {}
7041
}
7042
 
7043
% KORVAA XU05 tuolla lehtijutulla!
7044
 
7045
%Jiang Xu, W. Wolf, J. Henkel, S. Chakradhar,
7046
%Methodology for design, modeling, and analysis of networks-on-chip,
7047
%ISCAS, May 2005, pp. 1778-1781.
7048
@InProceedings{xu05,
7049
  author =       {Jiang Xu and W. Wolf and J. Henkel and S. Chakradhar},
7050
  title =        {Methodology for design, modeling, and analysis of networks-on-chip},
7051
  booktitle =    {ISCAS},
7052
  OPTcrossref =  {},
7053
  OPTkey =       {},
7054
  pages =        {1778--1781},
7055
  year =         {2005},
7056
  OPTeditor =    {},
7057
  OPTvolume =    {},
7058
  OPTnumber =    {},
7059
  OPTseries =    {},
7060
  OPTaddress =   {Kobe, Japan},
7061
  month =        {May},
7062
  OPTorganization = {},
7063
  OPTpublisher = {},
7064
  OPTnote =      {},
7065
  OPTannote =    {}
7066
}
7067
 
7068
 
7069
 
7070
 
7071
@Article{xu06,
7072
  author =       {Jiang Xu and W. Wolf and J. Henkel and S. Chakradhar},
7073
  title =        {A Design Methodology for Application-Specific Networks-on-Chip},
7074
  journal =      {ACM Trans. Embedded Computing Systems},
7075
  year =         {2006},
7076
  OPTkey =       {},
7077
  volume =       {5},
7078
  number =       {2},
7079
  pages =        {262--280},
7080
  month =        {May},
7081
  OPTnote =      {},
7082
  OPTannote =    {}
7083
}
7084
 
7085
 
7086
%Walter, I.; Cidon, I.; Ginosar, R.; Kolodny, A.
7087
%Access Regulation to Hot-Modules in Wormhole NoCs ,
7088
%NOCS, May 2007, Page(s): 137-148
7089
 
7090
@InProceedings{walter07,
7091
  author =       {I. Walter and I. Cidon and  R. Ginosar and A. Kolodny},
7092
  title =        {Access Regulation to Hot-Modules in Wormhole {NoCs}},
7093
  booktitle =    {NOCS},
7094
  OPTcrossref =  {},
7095
  OPTkey =       {},
7096
  pages =        {137--148},
7097
  year =         {2007},
7098
  OPTeditor =    {},
7099
  OPTvolume =    {},
7100
  OPTnumber =    {},
7101
  OPTseries =    {},
7102
  OPTaddress =   {},
7103
  month =        {May},
7104
  OPTorganization = {},
7105
  OPTpublisher = {},
7106
  OPTnote =      {},
7107
  OPTannote =    {}
7108
}
7109
 
7110
%P.T. Wolkotte,  G.J.M. Smit, G.K. Rauwerda, L.T. Smit,
7111
%An Energy-Efficient Reconfigurable Circuit-Switched Network-on-Chip,
7112
%Proceedings of IEEE International Parallel and Distributed Processing Symposium (IPDPS),
7113
%Denver, CA, USA, 04-08 April 2005, pp. 155a - 155a.
7114
@InProceedings{wolkotte05,
7115
  author =       {P.T. Wolkotte and  G.J.M. Smit and G.K. Rauwerda and L.T. Smit},
7116
  title =        {An Energy-Efficient Reconfigurable Circuit-Switched Network-on-Chip},
7117
  booktitle =    {IPDPS},
7118
  OPTcrossref =  {},
7119
  OPTkey =       {},
7120
  pages =        {155a},
7121
  year =         {2005},
7122
  OPTeditor =    {},
7123
  OPTvolume =    {},
7124
  OPTnumber =    {},
7125
  OPTseries =    {},
7126
  OPTaddress =   {Denver, CA, USA},
7127
  month =        {Apr.},
7128
  OPTorganization = {},
7129
  OPTpublisher = {},
7130
  OPTnote =      {},
7131
  OPTannote =    {}
7132
}
7133
 
7134
%Wolkotte, P.; Smit, G.; Becker, J.;
7135
%Energy efficient NoC for best effort communication
7136
%Field Programmable Logic and Applications, 2005. International Conference on
7137
%24-26 Aug. 2005 Page(s):197 - 202
7138
@InProceedings{wolkotte05b,
7139
  author =       {P. Wolkotte and G. Smit and J. Becker},
7140
  title =        {Energy efficient {NoC} for best effort communication},
7141
  booktitle =    {FPL},
7142
  OPTcrossref =  {},
7143
  OPTkey =       {},
7144
  pages =        {197--202},
7145
  year =         {2005},
7146
  OPTeditor =    {},
7147
  OPTvolume =    {},
7148
  OPTnumber =    {},
7149
  OPTseries =    {},
7150
  address =      {Tampere, Finland},
7151
  month =        {Aug.},
7152
  OPTorganization = {},
7153
  OPTpublisher = {},
7154
  OPTnote =      {},
7155
  OPTannote =    {}
7156
}
7157
 
7158
 
7159
%Wolkotte, P.T.; Smit, G.J.M.; Kavaldjiev, N.; Becker, J.E.; Becker, J.;
7160
%Energy Model of Networks-on-Chip and a Bus System-on-Chip,
7161
%2005. Proceedings. 2005 International Symposium on
7162
%15-17 Nov. 2005 Page(s):82 - 85
7163
@InProceedings{wolkotte05c,
7164
  author =       {P.T. Wolkotte and G.J.M. Smit and N. Kavaldjiev and J.E. Becker and  J. Becker},
7165
  title =        {Energy Model of Networks-on-Chip and a Bus System-on-Chip},
7166
  booktitle =    tresoc,
7167
  OPTcrossref =  {},
7168
  OPTkey =       {},
7169
  pages =        {82--85},
7170
  year =         {2005},
7171
  OPTeditor =    {},
7172
  OPTvolume =    {},
7173
  OPTnumber =    {},
7174
  OPTseries =    {},
7175
  address =      {Tampere, Finland},
7176
  month =        {Nov.},
7177
  OPTorganization = {},
7178
  OPTpublisher = {},
7179
  OPTnote =      {},
7180
  OPTannote =    {}
7181
}
7182
 
7183
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
7184
%%
7185
%% XXX, YYY, ZZZ
7186
%%
7187
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
7188
 
7189
%Applying CDMA Technique to Network-on-Chip,
7190
%Xin Wang; Tapani Ahonen; Jari Nurmi,
7191
%Very Large Scale Integration (VLSI) Systems, IEEE Transactions on,
7192
%Volume 15,  Issue 10,  Oct. 2007 Page(s):1091 - 1100
7193
@Article{xinwang07,
7194
  author =       {{Xin Wang} and Tapani Ahonen and Jari Nurmi},
7195
  title =        {Applying {CDMA} Technique to Network-on-Chip},
7196
  journal =      t-vlsi,
7197
  year =         {2007},
7198
  OPTkey =       {},
7199
  volume =       {15},
7200
  number =       {10},
7201
  pages =        {1091--1100},
7202
  month =        {Oct.},
7203
  OPTnote =      {},
7204
  OPTannote =    {}
7205
}
7206
 
7207
 
7208
% Analysis of power consumption on switch fabrics in network routers
7209
%Ye, T.T.   Benini, L.   De Micheli, G.
7210
%This paper appears in: Design Automation Conference, 2002. Proceedings. 39th
7211
%Publication Date: 2002
7212
%On page(s): 524- 529
7213
@InProceedings{ye02,
7214
  author =       {T.T. Ye and  L. Benini and G. {de Micheli}},
7215
  title =        {Analysis of power consumption on switch fabrics in network routers},
7216
  booktitle =    {DAC},
7217
  OPTcrossref =  {},
7218
  OPTkey =       {},
7219
  pages =        {524--529},
7220
  year =         {2002},
7221
  OPTeditor =    {},
7222
  OPTvolume =    {},
7223
  OPTnumber =    {},
7224
  OPTseries =    {},
7225
  OPTaddress =   {},
7226
  month =        {Jun.},
7227
  OPTorganization = {},
7228
  OPTpublisher = {},
7229
  OPTnote =      {},
7230
  OPTannote =    {}
7231
}
7232
 
7233
%T. Ye, L. Benini and G. De Micheli,
7234
%Packetization and Routing Analysis of On-Chip Multiprocessor
7235
%Networks,” JSA - Journal of System Architecture, Vol 50, February 2004, pp. 81-104
7236
@Article{ye04,
7237
  author =       {Terry Ye and Luca Benini and Giovanni de Micheli},
7238
  title =        {Packetization and Routing Analysis of On-Chip Multiprocessor},
7239
  journal =      {Journal of System Architecture},
7240
  year =         {2004},
7241
  OPTkey =       {},
7242
  volume =       {50},
7243
  OPTnumber =    {},
7244
  pages =        {81--104},
7245
  month =        {Feb.},
7246
  OPTnote =      {},
7247
  OPTannote =    {}
7248
}
7249
 
7250
 
7251
%\bibitem {zalewski95} Zalewski, J. (ed.): Advanced Multiprocessor Bus
7252
%  Architectures. IEEE Computer Society Press, Los Alamitos, CA (1995)
7253
@Book{zalewski95,
7254
  ALTauthor =    {},
7255
  editor =       {Janusz Zalewski},
7256
  title =        {Interconnection Networks for Multiprocessors and Multicomputers Theory and Practice},
7257
  publisher =    {IEEE Computer Society Press},
7258
  year =         {1995},
7259
  OPTkey =       {},
7260
  OPTvolume =    {},
7261
  OPTnumber =    {},
7262
  OPTseries =    {},
7263
  address =      {Los Alamitos, CA},
7264
  OPTedition =   {},
7265
  OPTmonth =     {},
7266
  OPTnote =      {},
7267
  OPTannote =    {}
7268
}
7269
 
7270
 
7271
 
7272
%\bibitem {zeferino02} Zeferino, C.A., et. al.: A Study on
7273
%  Communication Issues for System-on-Chip. In proc. SBCCI (2002)
7274
%  121-126
7275
@InProceedings{zeferino02,
7276
  author =       {Cesar A. Zeferino and Marcio E. Kreutz and Luigi Carro and  Altamiro A. Susin},
7277
  title =        {A Study on Communication Issues for System-on-Chip},
7278
  booktitle =    {SBCCI},
7279
  OPTcrossref =  {},
7280
  OPTkey =       {},
7281
  pages =        {121--126},
7282
  year =         {2002},
7283
  OPTeditor =    {},
7284
  OPTvolume =    {},
7285
  OPTnumber =    {},
7286
  OPTseries =    {},
7287
  OPTaddress =   {Porto Alegre, Brazil},
7288
  month =        {Sep.},
7289
  OPTorganization = {},
7290
  OPTpublisher = {},
7291
  OPTnote =      {},
7292
  OPTannote =    {}
7293
}
7294
 
7295
 
7296
 
7297
% C. A. Zeferino, M. E. Kreutz, L. Carro, A. A. Susin,
7298
%Models for Communication Tradeoffs on Systems-on-Chip,
7299
%Int. Workshop on IP-based SoC Design 2002, Grenoble, France, Oct. 2002, pp. 394-400.
7300
@InProceedings{zeferino02b,
7301
  author =       {C. A. Zeferino and M. E. Kreutz and L. Carro and A. A. Susin},
7302
  title =        {Models for Communication Tradeoffs on Systems-on-Chip},
7303
  booktitle =    {IP based design},
7304
  OPTcrossref =  {},
7305
  OPTkey =       {},
7306
  pages =        {394--400},
7307
  year =         {2002},
7308
  OPTeditor =    {},
7309
  OPTvolume =    {},
7310
  OPTnumber =    {},
7311
  OPTseries =    {},
7312
  OPTaddress =   {Grenoble, France},
7313
  month =        {Oct.},
7314
  OPTorganization = {},
7315
  OPTpublisher = {},
7316
  OPTnote =      {},
7317
  OPTannote =    {}
7318
}
7319
 
7320
%C.A. Zeferino, A.A. Susin,
7321
%SoCIN: a parametric and scalable network-on-chip,
7322
%Proceedings. 16th Symposium on Integrated Circuits and Systems Design (SBCCI)
7323
%Sept. 8-11, 2003, pp. 169 -174.
7324
@InProceedings{zeferino03,
7325
  author =       {C.A. Zeferino and A.A. Susin},
7326
  title =        {SoCIN: a parametric and scalable network-on-chip},
7327
  booktitle =    {SBCCI},
7328
  OPTcrossref =  {},
7329
  OPTkey =       {},
7330
  pages =        {169--174},
7331
  year =         {2003},
7332
  OPTeditor =    {},
7333
  OPTvolume =    {},
7334
  OPTnumber =    {},
7335
  OPTseries =    {},
7336
  OPTaddress =   {},
7337
  month =        {Sep.},
7338
  OPTorganization = {},
7339
  OPTpublisher = {},
7340
  OPTnote =      {},
7341
  OPTannote =    {}
7342
}
7343
 
7344
%C.A. Zeferino, M.E. Kreutz, A.A. Susin,
7345
%RASoC: a router soft-core for networks-on-chip,
7346
%In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE),
7347
%16-20 Feb. 2004, Paris, France, Vol. 3, pp. 198 - 203.
7348
@InProceedings{zeferino04a,
7349
  author =       {C.A. Zeferino and M.E. Kreutz and A.A. Susin},
7350
  title =        {{RASoC}: a router soft-core for networks-on-chip},
7351
  booktitle =    {DATE},
7352
  OPTcrossref =  {},
7353
  OPTkey =       {},
7354
  pages =        {198--203},
7355
  year =         {2004},
7356
  OPTeditor =    {},
7357
  volume =       {3},
7358
  OPTnumber =    {},
7359
  OPTseries =    {},
7360
  OPTaddress =   {Paris, France},
7361
  month =        {Feb.},
7362
  OPTorganization = {},
7363
  OPTpublisher = {},
7364
  OPTnote =      {},
7365
  OPTannote =    {}
7366
}
7367
 
7368
 
7369
%C. A. Zeferino, F. G. M. Espirito Santo, A. A. Susin.
7370
%ParIS: A Parameterizable Interconnect Switch for Networks-on-Chip.
7371
%17th Symposium on Integrated Circuits and Systems (SBCCI’2004),
7372
%Porto de Galinhas, Brazil, ACM Press, Sept. 2004. pp.204-209.
7373
@InProceedings{zeferino04b,
7374
  author =       {C. A. Zeferino and F. G. M. Espirito Santo and A. A. Susin},
7375
  title =        {ParIS: A Parameterizable Interconnect Switch for Networks-on-Chip},
7376
  booktitle =    {SBCCI},
7377
  OPTcrossref =  {},
7378
  OPTkey =       {},
7379
  pages =        {204--209},
7380
  year =         {2004},
7381
  OPTeditor =    {},
7382
  OPTvolume =    {},
7383
  OPTnumber =    {},
7384
  OPTseries =    {},
7385
  OPTaddress =   {Porto de Galinhas, Brazil},
7386
  month =        {Sep.},
7387
  OPTorganization = {},
7388
  OPTpublisher = {},
7389
  OPTnote =      {},
7390
  OPTannote =    {}
7391
}
7392
 
7393
%\bibitem {zhang99} Hui Zhang et al.:
7394
%Interconnect architecture exploration for low-energy reconfigurable single-chip DSPs.
7395
%In: Proc. of
7396
%Workshop on VLSI (1999) 2-8
7397
%\end{thebibliography}
7398
@InProceedings{zhang99,
7399
  author =       {Hui Zhang and Marlene Wan and Varghese George and Jan Rabaey},
7400
  title =        {Interconnect architecture exploration for low-energy reconfigurable single-chip {DSP}s},
7401
  booktitle =    {Workshop on VLSI},
7402
  OPTcrossref =  {},
7403
  OPTkey =       {},
7404
  pages =        {2--8},
7405
  year =         {1999},
7406
  OPTeditor =    {},
7407
  OPTvolume =    {},
7408
  OPTnumber =    {},
7409
  OPTseries =    {},
7410
  OPTaddress =   {Orlando, Florida, USA},
7411
  month =        {Apr.},
7412
  OPTorganization = {},
7413
  OPTpublisher = {},
7414
  OPTnote =      {},
7415
  OPTannote =    {}
7416
}
7417
 
7418
 
7419
 
7420
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.