OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] [3.0/] [vhd/] [cfg_init_pkg.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-------------------------------------------------
2
-- File        cfg_init_pkg.vhd
3
-- Design
4
-- Description Package for  Hibi_v3 cfg_mem
5
-- 
6
--              This pkg contains the initial values for cfg parameters.
7
--              Since cfg memory size is configurable, generics cannot be
8
--              used for initialization.
9
--              
10
--              DO NOT COMPILE OR SYNTHESIZE THIS FILE FROM HIBI/VHDL
11
--              DIRECTORY.
12
--              COPY THIS TO YOUR WORK DIRECTORY AND MODIFY THAT COPY ONLY!
13
--              
14
-- Author :     Erno salminen
15
-- Date :       16.12.2005
16
-- Project :    
17
 
18
-- Modified :
19
-------------------------------------------------
20
-- Funbase IP library Copyright (C) 2011 TUT Department of Computer Systems
21
--
22
-- This file is part of HIBI
23
--
24
-- This source file may be used and distributed without
25
-- restriction provided that this copyright statement is not
26
-- removed from the file and that any derivative work contains
27
-- the original copyright notice and the associated disclaimer.
28
--
29
-- This source file is free software; you can redistribute it
30
-- and/or modify it under the terms of the GNU Lesser General
31
-- Public License as published by the Free Software Foundation;
32
-- either version 2.1 of the License, or (at your option) any
33
-- later version.
34
--
35
-- This source is distributed in the hope that it will be
36
-- useful, but WITHOUT ANY WARRANTY; without even the implied
37
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
38
-- PURPOSE.  See the GNU Lesser General Public License for more
39
-- details.
40
--
41
-- You should have received a copy of the GNU Lesser General
42
-- Public License along with this source; if not, download it
43
-- from http://www.opencores.org/lgpl.shtml
44
-------------------------------------------------------------------------------
45
library ieee;
46
use ieee.std_logic_1164.all;
47
use ieee.std_logic_arith.all;
48
 
49
 
50
package cfg_init_pkg is
51
 
52
 
53
 
54
  -- Bounds for init arrys
55
  -- Wrappers can have less or equal num of pages or slots
56
  constant max_n_tslots_c    : integer := 3;
57
  constant max_n_cfg_pages_c : integer := 2;
58
 
59
  type tframe_1d_arr_type is array (1 to max_n_cfg_pages_c) of integer;  --
60
                                                                         --note indexing
61
  type tslot_param_1d_arr_type is array (0 to max_n_tslots_c-1) of integer;
62
  type tslot_param_2d_array_type is array ( 1 to max_n_cfg_pages_c) of tslot_param_1d_arr_type;
63
 
64
 
65
  constant tframe_c : tframe_1d_arr_type := (100, 75);
66
 
67
  constant tslot_start_c : tslot_param_2d_array_type := ((10, 30, 40),
68
                                                         (12, 32, 42)
69
                                                         );
70
 
71
  constant tslot_stop_c : tslot_param_2d_array_type := ((18, 35, 85),
72
                                                         (6, 16, 36)
73
                                                         );
74
 
75
  constant tslot_id_c : tslot_param_2d_array_type := ((3, 4, 5),
76
                                                      (2, 3, 1)
77
                                                      );
78
 
79
 
80
 
81
end cfg_init_pkg;
82
 
83
 
84
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.