OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] [3.0/] [vhd/] [hibi_orbus_6p.vhd] - Blame information for rev 158

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 155 lanttu
-------------------------------------------------------------------------------
2
-- file        : hibi_orbus_6p.vhd
3
-- description : Bus resolution is done by ORring all the inputs. E.g.
4
--               data_out <= data_0_in or data_1_in or...
5
-- author      : Erno Salminen
6
-- date        : 2012-03-07
7
-- modified    : 
8
-- 
9
-------------------------------------------------------------------------------
10
-------------------------------------------------------------------------------
11
-- Funbase IP library Copyright (C) 2011 TUT Department of Computer Systems
12
--
13
-- This source file may be used and distributed without
14
-- restriction provided that this copyright statement is not
15
-- removed from the file and that any derivative work contains
16
-- the original copyright notice and the associated disclaimer.
17
--
18
-- This source file is free software; you can redistribute it
19
-- and/or modify it under the terms of the GNU Lesser General
20
-- Public License as published by the Free Software Foundation;
21
-- either version 2.1 of the License, or (at your option) any
22
-- later version.
23
--
24
-- This source is distributed in the hope that it will be
25
-- useful, but WITHOUT ANY WARRANTY; without even the impliedlk
26
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
27
-- PURPOSE.  See the GNU Lesser General Public License for more
28
-- details.
29
--
30
-- You should have received a copy of the GNU Lesser General
31
-- Public License along with this source; if not, download it
32
-- from http://www.opencores.org/lgpl.shtml
33
-------------------------------------------------------------------------------
34
library ieee;
35
use ieee.std_logic_1164.all;
36
use ieee.std_logic_arith.all;
37
use ieee.std_logic_unsigned.all;
38
--use work.hibiv3_pkg.all;                -- hibi v3 commands
39
 
40 158 lanttu
entity hibi_orbus_6p is
41 155 lanttu
  generic (
42
    data_width_g           : integer := 32;
43
    comm_width_g           : integer := 5
44
  );
45
 
46
  port (
47
    bus_av_out   : out std_logic;
48
    bus_data_out : out std_logic_vector(data_width_g-1 downto 0);
49
    bus_comm_out : out std_logic_vector (comm_width_g-1 downto 0);
50
    bus_lock_out : out std_logic;
51
    bus_full_out : out std_logic;
52
 
53
    bus_av_0_in   : in std_logic;
54
    bus_data_0_in : in std_logic_vector(data_width_g-1 downto 0);
55
    bus_comm_0_in : in std_logic_vector (comm_width_g-1 downto 0);
56
    bus_lock_0_in : in std_logic;
57
    bus_full_0_in : in std_logic;
58
 
59
    bus_av_1_in   : in std_logic;
60
    bus_data_1_in : in std_logic_vector(data_width_g-1 downto 0);
61
    bus_comm_1_in : in std_logic_vector (comm_width_g-1 downto 0);
62
    bus_lock_1_in : in std_logic;
63
    bus_full_1_in : in std_logic;
64
 
65
    bus_av_2_in   : in std_logic;
66
    bus_data_2_in : in std_logic_vector(data_width_g-1 downto 0);
67
    bus_comm_2_in : in std_logic_vector (comm_width_g-1 downto 0);
68
    bus_lock_2_in : in std_logic;
69
    bus_full_2_in : in std_logic;
70
 
71
    bus_av_3_in   : in std_logic;
72
    bus_data_3_in : in std_logic_vector(data_width_g-1 downto 0);
73
    bus_comm_3_in : in std_logic_vector (comm_width_g-1 downto 0);
74
    bus_lock_3_in : in std_logic;
75 158 lanttu
    bus_full_3_in : in std_logic;
76 155 lanttu
 
77
    bus_av_4_in   : in std_logic;
78
    bus_data_4_in : in std_logic_vector(data_width_g-1 downto 0);
79
    bus_comm_4_in : in std_logic_vector (comm_width_g-1 downto 0);
80
    bus_lock_4_in : in std_logic;
81 158 lanttu
    bus_full_4_in : in std_logic;
82 155 lanttu
 
83
    bus_av_5_in   : in std_logic;
84
    bus_data_5_in : in std_logic_vector(data_width_g-1 downto 0);
85
    bus_comm_5_in : in std_logic_vector (comm_width_g-1 downto 0);
86
    bus_lock_5_in : in std_logic;
87
    bus_full_5_in : in std_logic
88
    );
89 158 lanttu
end hibi_orbus_6p;
90 155 lanttu
 
91 158 lanttu
architecture structural of hibi_orbus_6p is
92 155 lanttu
 
93
begin  -- structural
94
 
95
 
96
  -- continuous assignments
97
  bus_av_out  <= bus_av_0_in    or bus_av_1_in   or bus_av_2_in   or bus_av_3_in or  bus_av_4_in or  bus_av_5_in ;
98
 
99
  bus_data_out <= bus_data_0_in or bus_data_1_in or bus_data_2_in or bus_data_3_in or bus_data_4_in or bus_data_5_in ;
100
 
101
  bus_comm_out <= bus_comm_0_in or bus_comm_1_in or bus_comm_2_in or bus_comm_3_in or  bus_comm_4_in  or bus_comm_5_in ;
102
 
103
  bus_lock_out <= bus_lock_0_in or bus_lock_1_in or bus_lock_2_in or bus_lock_3_in or  bus_lock_4_in or  bus_lock_5_in;
104
 
105
  bus_full_out <= bus_full_0_in or bus_full_1_in or bus_full_2_in or bus_full_3_in or bus_full_4_in or bus_full_5_in ;
106
 
107
 
108
end structural;
109
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.