OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi_pe_dma/] [1.0/] [tb/] [setup_tb_n2h2_tx_str.do] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
 
2
quit -sim
3
 
4
vlib work
5
 
6
# HW files
7
 
8
vcom -check_synthesis -pedantic ../vhd/hpd_rx_channel.vhd
9
vcom -check_synthesis -pedantic ../vhd/hpd_rx_and_conf.vhd
10
vcom -check_synthesis -pedantic ../vhd/hpd_tx_control.vhd
11
vcom -check_synthesis -pedantic ../vhd/hibi_pe_dma.vhd
12
 
13
# TB files
14
 
15
vcom ./blocks/sram_scalable_v3.vhd
16
vcom ./blocks/tb_n2h2_tx_str.vhd
17
 
18
vsim -novopt -t 1ns work.tb_n2h2_tx
19
do blocks/wave_tb_n2h2_tx_str.do

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.