OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi_pe_dma/] [1.0/] [vhd/] [hibi_pe_dma_hw.tcl] - Blame information for rev 145

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
# TCL File Generated by Component Editor 10.0sp1
2
# Fri Feb 17 18:08:42 EET 2012
3
# DO NOT MODIFY
4
 
5
 
6
# +-----------------------------------
7
# | 
8
# | hibi_pe_dma "hibi_pe_dma" v1.0
9
# | null 2012.02.17.18:08:42
10
# | 
11
# | 
12
# | D:/user/lehton87/svn/daci_ip/trunk/ip.hwp.communication/hibi_pe_dma/1.0/vhd/hibi_pe_dma.vhd
13
# | 
14
# |    ./hpd_tx_control.vhd syn, sim
15
# |    ./hpd_rx_packet.vhd syn, sim
16
# |    ./hpd_rx_stream.vhd syn, sim
17
# |    ./hpd_rx_and_conf.vhd syn, sim
18
# |    ./hibi_pe_dma.vhd syn, sim
19
# | 
20
# +-----------------------------------
21
 
22
# +-----------------------------------
23
# | request TCL package from ACDS 10.0
24
# | 
25
package require -exact sopc 10.0
26
# | 
27
# +-----------------------------------
28
 
29
# +-----------------------------------
30
# | module hibi_pe_dma
31
# | 
32
set_module_property NAME hibi_pe_dma
33
set_module_property VERSION 1.0
34
set_module_property INTERNAL false
35
set_module_property GROUP Other
36
set_module_property DISPLAY_NAME hibi_pe_dma
37
set_module_property TOP_LEVEL_HDL_FILE hibi_pe_dma.vhd
38
set_module_property TOP_LEVEL_HDL_MODULE hibi_pe_dma
39
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
40
set_module_property EDITABLE true
41
set_module_property ANALYZE_HDL TRUE
42
# | 
43
# +-----------------------------------
44
 
45
# +-----------------------------------
46
# | files
47
# | 
48
add_file hpd_tx_control.vhd {SYNTHESIS SIMULATION}
49
add_file hpd_rx_packet.vhd {SYNTHESIS SIMULATION}
50
add_file hpd_rx_stream.vhd {SYNTHESIS SIMULATION}
51
add_file hpd_rx_and_conf.vhd {SYNTHESIS SIMULATION}
52
add_file hibi_pe_dma.vhd {SYNTHESIS SIMULATION}
53
# | 
54
# +-----------------------------------
55
 
56
# +-----------------------------------
57
# | parameters
58
# | 
59
add_parameter data_width_g INTEGER 32
60
set_parameter_property data_width_g DEFAULT_VALUE 32
61
set_parameter_property data_width_g DISPLAY_NAME data_width_g
62
set_parameter_property data_width_g TYPE INTEGER
63
set_parameter_property data_width_g UNITS None
64
set_parameter_property data_width_g ALLOWED_RANGES -2147483648:2147483647
65
set_parameter_property data_width_g AFFECTS_GENERATION false
66
set_parameter_property data_width_g HDL_PARAMETER true
67
add_parameter addr_width_g INTEGER 32
68
set_parameter_property addr_width_g DEFAULT_VALUE 32
69
set_parameter_property addr_width_g DISPLAY_NAME addr_width_g
70
set_parameter_property addr_width_g TYPE INTEGER
71
set_parameter_property addr_width_g UNITS None
72
set_parameter_property addr_width_g ALLOWED_RANGES -2147483648:2147483647
73
set_parameter_property addr_width_g AFFECTS_GENERATION false
74
set_parameter_property addr_width_g HDL_PARAMETER true
75
add_parameter words_width_g INTEGER 16
76
set_parameter_property words_width_g DEFAULT_VALUE 16
77
set_parameter_property words_width_g DISPLAY_NAME words_width_g
78
set_parameter_property words_width_g TYPE INTEGER
79
set_parameter_property words_width_g UNITS None
80
set_parameter_property words_width_g ALLOWED_RANGES -2147483648:2147483647
81
set_parameter_property words_width_g AFFECTS_GENERATION false
82
set_parameter_property words_width_g HDL_PARAMETER true
83
add_parameter n_stream_chans_g INTEGER 4
84
set_parameter_property n_stream_chans_g DEFAULT_VALUE 4
85
set_parameter_property n_stream_chans_g DISPLAY_NAME n_stream_chans_g
86
set_parameter_property n_stream_chans_g TYPE INTEGER
87
set_parameter_property n_stream_chans_g UNITS None
88
set_parameter_property n_stream_chans_g ALLOWED_RANGES -2147483648:2147483647
89
set_parameter_property n_stream_chans_g AFFECTS_GENERATION false
90
set_parameter_property n_stream_chans_g HDL_PARAMETER true
91
add_parameter n_packet_chans_g INTEGER 4
92
set_parameter_property n_packet_chans_g DEFAULT_VALUE 4
93
set_parameter_property n_packet_chans_g DISPLAY_NAME n_packet_chans_g
94
set_parameter_property n_packet_chans_g TYPE INTEGER
95
set_parameter_property n_packet_chans_g UNITS None
96
set_parameter_property n_packet_chans_g ALLOWED_RANGES -2147483648:2147483647
97
set_parameter_property n_packet_chans_g AFFECTS_GENERATION false
98
set_parameter_property n_packet_chans_g HDL_PARAMETER true
99
add_parameter n_chans_bits_g INTEGER 3
100
set_parameter_property n_chans_bits_g DEFAULT_VALUE 3
101
set_parameter_property n_chans_bits_g DISPLAY_NAME n_chans_bits_g
102
set_parameter_property n_chans_bits_g TYPE INTEGER
103
set_parameter_property n_chans_bits_g UNITS None
104
set_parameter_property n_chans_bits_g ALLOWED_RANGES -2147483648:2147483647
105
set_parameter_property n_chans_bits_g AFFECTS_GENERATION false
106
set_parameter_property n_chans_bits_g HDL_PARAMETER true
107
add_parameter hibi_addr_cmp_lo_g INTEGER 8
108
set_parameter_property hibi_addr_cmp_lo_g DEFAULT_VALUE 8
109
set_parameter_property hibi_addr_cmp_lo_g DISPLAY_NAME hibi_addr_cmp_lo_g
110
set_parameter_property hibi_addr_cmp_lo_g TYPE INTEGER
111
set_parameter_property hibi_addr_cmp_lo_g UNITS None
112
set_parameter_property hibi_addr_cmp_lo_g ALLOWED_RANGES -2147483648:2147483647
113
set_parameter_property hibi_addr_cmp_lo_g AFFECTS_GENERATION false
114
set_parameter_property hibi_addr_cmp_lo_g HDL_PARAMETER true
115
add_parameter hibi_addr_cmp_hi_g INTEGER 31
116
set_parameter_property hibi_addr_cmp_hi_g DEFAULT_VALUE 31
117
set_parameter_property hibi_addr_cmp_hi_g DISPLAY_NAME hibi_addr_cmp_hi_g
118
set_parameter_property hibi_addr_cmp_hi_g TYPE INTEGER
119
set_parameter_property hibi_addr_cmp_hi_g UNITS None
120
set_parameter_property hibi_addr_cmp_hi_g ALLOWED_RANGES -2147483648:2147483647
121
set_parameter_property hibi_addr_cmp_hi_g AFFECTS_GENERATION false
122
set_parameter_property hibi_addr_cmp_hi_g HDL_PARAMETER true
123
# | 
124
# +-----------------------------------
125
 
126
# +-----------------------------------
127
# | display items
128
# | 
129
# | 
130
# +-----------------------------------
131
 
132
# +-----------------------------------
133
# | connection point avalon_slave_0
134
# | 
135
add_interface avalon_slave_0 avalon end
136
set_interface_property avalon_slave_0 addressAlignment DYNAMIC
137
set_interface_property avalon_slave_0 associatedClock clock_sink
138
set_interface_property avalon_slave_0 burstOnBurstBoundariesOnly false
139
set_interface_property avalon_slave_0 explicitAddressSpan 0
140
set_interface_property avalon_slave_0 holdTime 0
141
set_interface_property avalon_slave_0 isMemoryDevice false
142
set_interface_property avalon_slave_0 isNonVolatileStorage false
143
set_interface_property avalon_slave_0 linewrapBursts false
144
set_interface_property avalon_slave_0 maximumPendingReadTransactions 0
145
set_interface_property avalon_slave_0 printableDevice false
146
set_interface_property avalon_slave_0 readLatency 0
147
set_interface_property avalon_slave_0 readWaitTime 1
148
set_interface_property avalon_slave_0 setupTime 0
149
set_interface_property avalon_slave_0 timingUnits Cycles
150
set_interface_property avalon_slave_0 writeWaitTime 0
151
 
152
set_interface_property avalon_slave_0 ASSOCIATED_CLOCK clock_sink
153
set_interface_property avalon_slave_0 ENABLED true
154
 
155
add_interface_port avalon_slave_0 avalon_cfg_addr_in address Input n_chans_bits_g+4
156
add_interface_port avalon_slave_0 avalon_cfg_we_in write Input 1
157
add_interface_port avalon_slave_0 avalon_cfg_re_in read Input 1
158
add_interface_port avalon_slave_0 avalon_cfg_cs_in chipselect Input 1
159
add_interface_port avalon_slave_0 avalon_cfg_waitrequest_out waitrequest Output 1
160
add_interface_port avalon_slave_0 avalon_cfg_writedata_in writedata Input addr_width_g
161
add_interface_port avalon_slave_0 avalon_cfg_readdata_out readdata Output addr_width_g
162
# | 
163
# +-----------------------------------
164
 
165
# +-----------------------------------
166
# | connection point conduit_end
167
# | 
168
add_interface conduit_end conduit end
169
 
170
set_interface_property conduit_end ENABLED true
171
 
172
add_interface_port conduit_end hibi_data_in export Input data_width_g
173
add_interface_port conduit_end hibi_av_in export Input 1
174
add_interface_port conduit_end hibi_empty_in export Input 1
175
add_interface_port conduit_end hibi_comm_in export Input 5
176
add_interface_port conduit_end hibi_re_out export Output 1
177
add_interface_port conduit_end hibi_data_out export Output data_width_g
178
add_interface_port conduit_end hibi_av_out export Output 1
179
add_interface_port conduit_end hibi_full_in export Input 1
180
add_interface_port conduit_end hibi_comm_out export Output 5
181
add_interface_port conduit_end hibi_we_out export Output 1
182
# | 
183
# +-----------------------------------
184
 
185
# +-----------------------------------
186
# | connection point clock_sink
187
# | 
188
add_interface clock_sink clock end
189
 
190
set_interface_property clock_sink ENABLED true
191
 
192
add_interface_port clock_sink clk clk Input 1
193
# | 
194
# +-----------------------------------
195
 
196
# +-----------------------------------
197
# | connection point clock_sink_reset
198
# | 
199
add_interface clock_sink_reset reset end
200
set_interface_property clock_sink_reset associatedClock clock_sink
201
set_interface_property clock_sink_reset synchronousEdges DEASSERT
202
 
203
set_interface_property clock_sink_reset ASSOCIATED_CLOCK clock_sink
204
set_interface_property clock_sink_reset ENABLED true
205
 
206
add_interface_port clock_sink_reset rst_n reset_n Input 1
207
# | 
208
# +-----------------------------------
209
 
210
# +-----------------------------------
211
# | connection point interrupt_sender
212
# | 
213
add_interface interrupt_sender interrupt end
214
set_interface_property interrupt_sender associatedAddressablePoint avalon_slave_0
215
set_interface_property interrupt_sender associatedClock clock_sink
216
set_interface_property interrupt_sender associatedReset clock_sink_reset
217
 
218
set_interface_property interrupt_sender ASSOCIATED_CLOCK clock_sink
219
set_interface_property interrupt_sender ENABLED true
220
 
221
add_interface_port interrupt_sender rx_irq_out irq Output 1
222
# | 
223
# +-----------------------------------
224
 
225
# +-----------------------------------
226
# | connection point avalon_master
227
# | 
228
add_interface avalon_master avalon start
229
set_interface_property avalon_master burstOnBurstBoundariesOnly false
230
set_interface_property avalon_master doStreamReads false
231
set_interface_property avalon_master doStreamWrites false
232
set_interface_property avalon_master linewrapBursts false
233
 
234
set_interface_property avalon_master ASSOCIATED_CLOCK ""
235
set_interface_property avalon_master ENABLED true
236
 
237
add_interface_port avalon_master avalon_addr_out_rx address Output addr_width_g
238
add_interface_port avalon_master avalon_we_out_rx write Output 1
239
add_interface_port avalon_master avalon_be_out_rx byteenable Output data_width_g/8
240
add_interface_port avalon_master avalon_writedata_out_rx writedata Output data_width_g
241
add_interface_port avalon_master avalon_waitrequest_in_rx waitrequest Input 1
242
# | 
243
# +-----------------------------------
244
 
245
# +-----------------------------------
246
# | connection point avalon_master_1
247
# | 
248
add_interface avalon_master_1 avalon start
249
set_interface_property avalon_master_1 burstOnBurstBoundariesOnly false
250
set_interface_property avalon_master_1 doStreamReads false
251
set_interface_property avalon_master_1 doStreamWrites false
252
set_interface_property avalon_master_1 linewrapBursts false
253
 
254
set_interface_property avalon_master_1 ASSOCIATED_CLOCK ""
255
set_interface_property avalon_master_1 ENABLED true
256
 
257
add_interface_port avalon_master_1 avalon_readdatavalid_in_tx readdatavalid Input 1
258
add_interface_port avalon_master_1 avalon_waitrequest_in_tx waitrequest Input 1
259
add_interface_port avalon_master_1 avalon_readdata_in_tx readdata Input data_width_g
260
add_interface_port avalon_master_1 avalon_re_out_tx read Output 1
261
add_interface_port avalon_master_1 avalon_addr_out_tx address Output addr_width_g
262
# | 
263
# +-----------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.