OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [pkt_codec_mk2/] [1.0/] [vhd/] [address_lut.vhd] - Blame information for rev 145

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-------------------------------------------------------------------------------
2
-- Title      : Address look-up table
3
-- Project    : 
4
-------------------------------------------------------------------------------
5
-- File       : address_lut.vhd
6
-- Author     : Lasse Lehtonen
7
-- Company    : 
8
-- Created    : 2011-01-12
9
-- Last update: 2012-03-19
10
-- Platform   : 
11
-- Standard   : VHDL'93
12
-------------------------------------------------------------------------------
13
-- Description: Converts memory mapped I/O address to NoC address
14
-------------------------------------------------------------------------------
15
-- Copyright (c) 2011 
16
-------------------------------------------------------------------------------
17
-- Revisions  :
18
-- Date        Version  Author  Description
19
-- 2011-01-12  1.0      ase     Created
20
-------------------------------------------------------------------------------
21
 
22
library ieee;
23
use ieee.std_logic_1164.all;
24
use ieee.numeric_std.all;
25
 
26
use work.ase_noc_pkg.all;
27
use work.ase_mesh1_pkg.all;
28
use work.ase_dring1_pkg.all;
29
 
30
entity address_lut is
31
 
32
  generic (
33
    my_id_g        : natural;
34
    data_width_g   : positive;
35
    address_mode_g : natural;
36
    cols_g         : positive;
37
    rows_g         : positive;
38
    agent_ports_g  : positive;
39
    agents_g       : positive;
40
    noc_type_g     : natural);
41
 
42
  port (
43
    addr_in  : in  std_logic_vector(data_width_g-1 downto 0);
44
    addr_out : out std_logic_vector(data_width_g-1 downto 0));
45
 
46
end entity address_lut;
47
 
48
 
49
 
50
architecture rtl of address_lut is
51
 
52
  -- How many different address ranges there are
53
  constant n_addr_ranges_c : positive := 32;
54
  constant mesh_ids_c      : positive := cols_g*rows_g*agent_ports_g;
55
 
56
  signal noc_target : integer;
57
 
58
 
59
  type addr_range_type is array (0 to 2) of unsigned(data_width_g-1 downto 0);
60
  type addr_lut_type is array (0 to n_addr_ranges_c-1) of addr_range_type;
61
 
62
  function addr_gen (
63
    constant target : natural)
64
    return unsigned is
65
    variable retval : unsigned(data_width_g-1 downto 0);
66
  begin
67
    if noc_type_g = 0 then
68
      retval := unsigned(ase_noc_address(my_id_g, target, cols_g, rows_g,
69
                                         agent_ports_g, data_width_g));
70
      return retval;
71
    end if;
72
    if noc_type_g = 1 then
73
      retval := unsigned(ase_mesh1_address(my_id_g, target, rows_g, cols_g,
74
                                           data_width_g));
75
      return retval;
76
    end if;
77
    if noc_type_g = 2 then
78
      retval := unsigned(dring1_address(my_id_g, target, agents_g,
79
                                        data_width_g));
80
      return retval;
81
    end if;
82
  end addr_gen;
83
 
84
  function addr_gen_s (
85
    signal target : integer)
86
    return std_logic_vector is
87
    variable retval : std_logic_vector(data_width_g-1 downto 0);
88
  begin
89
    if noc_type_g = 0 then
90
      retval := ase_noc_address_s(my_id_g, target, cols_g, rows_g,
91
                                  agent_ports_g, data_width_g);
92
      return retval;
93
    end if;
94
    if noc_type_g = 1 then
95
      retval :=  ase_mesh1_address(my_id_g, target, rows_g, cols_g,
96
                                   data_width_g);
97
      return retval;
98
    end if;
99
    if noc_type_g = 2 then
100
      retval :=  dring1_address(my_id_g, target, agents_g,  data_width_g);
101
      return retval;
102
    end if;
103
  end addr_gen_s;
104
 
105
  -- First  = address range's minimum address
106
  -- Second = address range's maximum address
107
  -- Third  = corresponding network address
108
 
109
  constant addr_lut_c : addr_lut_type :=
110
    (
111
      (x"00000000", x"00FFFFFF", addr_gen(0)),
112
      (x"01000000", x"01FFFFFF", addr_gen(1)),
113
      (x"02000000", x"02FFFFFF", addr_gen(2)),
114
      (x"03000000", x"03FFFFFF", addr_gen(3)),
115
      (x"04000000", x"04FFFFFF", addr_gen(4)),
116
      (x"05000000", x"05FFFFFF", addr_gen(5)),
117
      (x"06000000", x"06FFFFFF", addr_gen(6)),
118
      (x"07000000", x"07FFFFFF", addr_gen(7)),
119
      (x"08000000", x"08FFFFFF", addr_gen(8)),
120
      (x"09000000", x"09FFFFFF", addr_gen(9)),
121
      (x"0A000000", x"0AFFFFFF", addr_gen(10)),
122
      (x"0B000000", x"0BFFFFFF", addr_gen(11)),
123
      (x"0C000000", x"0CFFFFFF", addr_gen(12)),
124
      (x"0D000000", x"0DFFFFFF", addr_gen(13)),
125
      (x"0E000000", x"0EFFFFFF", addr_gen(14)),
126
      (x"0F000000", x"0FFFFFFF", addr_gen(15)),
127
      (x"10000000", x"10FFFFFF", addr_gen(16)),
128
      (x"11000000", x"11FFFFFF", addr_gen(17)),
129
      (x"12000000", x"12FFFFFF", addr_gen(18)),
130
      (x"13000000", x"13FFFFFF", addr_gen(19)),
131
      (x"14000000", x"14FFFFFF", addr_gen(20)),
132
      (x"15000000", x"15FFFFFF", addr_gen(21)),
133
      (x"16000000", x"16FFFFFF", addr_gen(22)),
134
      (x"17000000", x"17FFFFFF", addr_gen(23)),
135
      (x"18000000", x"18FFFFFF", addr_gen(24)),
136
      (x"19000000", x"19FFFFFF", addr_gen(25)),
137
      (x"1A000000", x"1AFFFFFF", addr_gen(26)),
138
      (x"1B000000", x"1BFFFFFF", addr_gen(27)),
139
      (x"1C000000", x"1CFFFFFF", addr_gen(28)),
140
      (x"1D000000", x"1DFFFFFF", addr_gen(29)),
141
      (x"1E000000", x"1EFFFFFF", addr_gen(30)),
142
      (x"1F000000", x"1FFFFFFF", addr_gen(31))
143
      );
144
 
145
--  constant addr_lut_c : addr_lut_type :=
146
--    (
147
--      (x"0000", x"0FFF", addr_gen(0)),
148
--      (x"1000", x"1FFF", addr_gen(1)),
149
--      (x"2000", x"2FFF", addr_gen(2)),
150
--      (x"3000", x"3FFF", addr_gen(3))
151
--      );
152
 
153
begin  -- architecture rtl
154
 
155
  -----------------------------------------------------------------------------
156
  -- MEMORY MAPPED ADDRESSES
157
  -----------------------------------------------------------------------------
158
  use_mem_addr_gen : if address_mode_g = 2 generate
159
 
160
    translate_p : process (addr_in) is
161
    begin  -- process  translate_p
162
 
163
      addr_out <= (others => '1');
164
 
165
      for i in 0 to n_addr_ranges_c-1 loop
166
 
167
        if unsigned(addr_in) >= addr_lut_c(i)(0)
168
          and unsigned(addr_in) <= addr_lut_c(i)(1) then
169
 
170
          addr_out <= std_logic_vector(addr_lut_c(i)(2));
171
        end if;
172
 
173
      end loop;  -- i
174
 
175
    end process translate_p;
176
 
177
  end generate use_mem_addr_gen;
178
 
179
  -----------------------------------------------------------------------------
180
  -- INTEGER ADDRESSES
181
  -----------------------------------------------------------------------------
182
  use_int_addr_gen : if address_mode_g = 1 generate
183
 
184
    noc_target <= to_integer(unsigned(addr_in(data_width_g-2 downto 0)));
185
    addr_out    <= addr_gen_s(noc_target);
186
 
187
  end generate use_int_addr_gen;
188
 
189
  -----------------------------------------------------------------------------
190
  -- NO ADDRESS TRANSLATION
191
  -----------------------------------------------------------------------------
192
  no_translation_g : if address_mode_g = 0 generate
193
 
194
    addr_out <= addr_in;
195
 
196
  end generate no_translation_g;
197
 
198
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.