OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.interface/] [clock/] [1.0/] [ip_xact/] [clk_gen.1.0.xml] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
2
3
4
5
6
7
        TUT
8
        ip.hwp.interface
9
        clk_gen
10
        1.0
11
        Simple clock generator dor simulation.
12
        
13
                
14
                        Generated_clk
15
                        
16
                        
17
                        
18
                        false
19
                        
20
                                
21
                                        
22
                                                CLK
23
                                                
24
                                                        0
25
                                                        0
26
                                                
27
                                        
28
                                        
29
                                                clk_out
30
                                                
31
                                                        0
32
                                                        0
33
                                                
34
                                        
35
                                
36
                        
37
                        8
38
                        little
39
                
40
                
41
                        Generated_hibi_clk
42
                        
43
                        
44
                        
45
                        false
46
                        
47
                                
48
                                        
49
                                                AGENT_SYNC_CLK
50
                                                
51
                                                        0
52
                                                        0
53
                                                
54
                                        
55
                                        
56
                                                clk_out
57
                                                
58
                                                        0
59
                                                        0
60
                                                
61
                                        
62
                                
63
                                
64
                                        
65
                                                BUS_CLK
66
                                                
67
                                                        0
68
                                                        0
69
                                                
70
                                        
71
                                        
72
                                                clk_out
73
                                                
74
                                                        0
75
                                                        0
76
                                                
77
                                        
78
                                
79
                                
80
                                        
81
                                                BUS_SYNC_CLK
82
                                                
83
                                                        0
84
                                                        0
85
                                                
86
                                        
87
                                        
88
                                                clk_out
89
                                                
90
                                                        0
91
                                                        0
92
                                                
93
                                        
94
                                
95
                                
96
                                        
97
                                                AGENT_CLK
98
                                                
99
                                                        0
100
                                                        0
101
                                                
102
                                        
103
                                        
104
                                                clk_out
105
                                                
106
                                                        0
107
                                                        0
108
                                                
109
                                        
110
                                
111
                        
112
                        8
113
                        little
114
                
115
        
116
        
117
                
118
                        
119
                                behavioral
120
                                VHDL::Not
121
                                
122
                                        behavioral
123
                                
124
                        
125
                
126
                
127
                        
128
                                clk_out
129
                                
130
                                        out
131
                                
132
                                
133
                        
134
                
135
                
136
                        
137
                                hi_period_ns_g
138
                                1
139
                        
140
                        
141
                                lo_period_ns_g
142
                                1
143
                        
144
                
145
        
146
        
147
                
148
                        behavioral
149
                        
150
                                ../vhd/clk_gen.vhd
151
                                vhdlSource
152
                                false
153
                                work
154
                        
155
                        
156
                                vhdlSource
157
                                vcom
158
                                -check_synthesis
159
                                false
160
                        
161
                
162
        
163
        
164
                
165
                        
166
                                IP
167
                                HW
168
                                Template
169
                        
170
                
171
        
172

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.