OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.interface/] [reset/] [1.0/] [vhd/] [rst_gen.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-------------------------------------------------------------------------------
2
-- Title      : Reset generator for simulation
3
-- Project    : Nocbench & Funbase
4
-------------------------------------------------------------------------------
5
-- File       : rst_gen.vhd
6
-- Author     : ege
7
-- Created    : 2012-01-27
8
-- Last update: 2012-03-09
9
-- Description: Just toggles the 1-bit clock output forever.
10
-- 
11
-------------------------------------------------------------------------------
12
-- Copyright (c) 2010
13
-------------------------------------------------------------------------------
14
-- Revisions  :
15
-- Date        Version  Author  Description
16
-- April 2010   1.0     ege     First version
17
-------------------------------------------------------------------------------
18
-------------------------------------------------------------------------------
19
-- Funbase IP library Copyright (C) 2011 TUT Department of Computer Systems
20
--
21
--
22
-- This source file may be used and distributed without
23
-- restriction provided that this copyright statement is not
24
-- removed from the file and that any derivative work contains
25
-- the original copyright notice and the associated disclaimer.
26
--
27
-- This source file is free software; you can redistribute it
28
-- and/or modify it under the terms of the GNU Lesser General
29
-- Public License as published by the Free Software Foundation;
30
-- either version 2.1 of the License, or (at your option) any
31
-- later version.
32
--
33
-- This source is distributed in the hope that it will be
34
-- useful, but WITHOUT ANY WARRANTY; without even the implied
35
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
36
-- PURPOSE.  See the GNU Lesser General Public License for more
37
-- details.
38
--
39
-- You should have received a copy of the GNU Lesser General
40
-- Public License along with this source; if not, download it
41
-- from http://www.opencores.org/lgpl.shtml
42
-------------------------------------------------------------------------------
43
library ieee;
44
use ieee.std_logic_1164.all;
45
 
46
entity rst_gen is
47
 
48
  generic (
49
    active_period_ns_g : integer := 100  -- In nanoseconds
50
    );
51
  port (
52
    rst_n_out : out std_logic
53
    );
54
 
55
end rst_gen;
56
 
57
 
58
architecture behav of rst_gen is
59
  signal rst_tmp : std_logic := '0';    -- active low
60
 
61
begin  -- behav
62
 
63
  toggle: process (rst_tmp)
64
  begin  -- process toggle
65
    if rst_tmp = '0' then
66
      rst_tmp <= '1' after active_period_ns_g * 1 ns;
67
    end if;
68
  end process toggle;
69
 
70
  rst_n_out <= rst_tmp;
71
 
72
end behav;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.