OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.interface/] [udp_ip/] [1.0/] [doc/] [src/] [makefile] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
#
2
# Compiles the Tex source and pdf figures
3
#
4
# Erno salminen, April 2012
5
#
6
 
7
FIGS = fig/app_interface.pdf fig/data_example.pdf fig/eth_interface.pdf \
8
        fig/eth_timing.pdf fig/header_states.pdf fig/receiving.pdf \
9
        fig/sending.pdf fig/system_overview.pdf fig/tx_timing.pdf \
10
        fig/udp_block_states.pdf
11
 
12
all: udp_ip_datasheet.pdf
13
 
14
udp_ip_datasheet.pdf: udp_ip_datasheet.tex $(FIGS)
15
        pdflatex udp_ip_datasheet.tex
16
        pdflatex udp_ip_datasheet.tex
17
 
18
 
19
clean:
20
        rm udp_ip_datasheet.toc
21
        rm udp_ip_datasheet.aux
22
        rm udp_ip_datasheet.log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.