OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.interface/] [udp_ip/] [1.0/] [vhd/] [udp_ip_pkg.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-- constants and stuff
2
 
3
library ieee;
4
use ieee.std_logic_1164.all;
5
 
6
package udp_ip_pkg is
7
 
8
  constant udp_data_width_c    : integer := 16;
9
  constant tx_len_w_c      : integer := 11;
10
  constant ip_addr_w_c     : integer := 32;
11
  constant MAC_addr_w_c    : integer := 48;
12
  constant port_w_c        : integer := 16;
13
  constant frame_type_w_c  : integer := 16;
14
  constant ip_checksum_w_c : integer := 16;
15
 
16
  constant ARP_frame_type_c : std_logic_vector( frame_type_w_c-1 downto 0 ) := x"0806";
17
  constant IP_frame_type_c : std_logic_vector( frame_type_w_c-1 downto 0 ) := x"0800";
18
  constant UDP_protocol_c : std_logic_vector( 7 downto 0 ) := x"11";
19
 
20
  constant own_ip_c : std_logic_vector( ip_addr_w_c-1 downto 0 ) := x"0A00000A";
21
  constant MAC_addr_c : std_logic_vector( MAC_addr_w_c-1 downto 0 ) := x"ACDCABBACD00";
22
 
23
end udp_ip_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.