OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.storage/] [fifos/] [gray_fifo/] [1.0/] [vhd/] [async_dpram.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity async_dpram is
6
 
7
  generic (
8
    addrw_g : integer := 0;
9
    dataw_g : integer := 0);
10
 
11
  port (
12
    rd_clk, wr_clk         : in  std_logic;
13
    wr_en_in               : in  std_logic;
14
    data_in                : in  std_logic_vector(dataw_g-1 downto 0);
15
    data_out               : out std_logic_vector(dataw_g-1 downto 0);
16
    rd_addr_in, wr_addr_in : in  std_logic_vector (addrw_g-1 downto 0));
17
 
18
end entity async_dpram;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.