OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.storage/] [fifos/] [gray_fifo/] [1.0/] [vhd/] [async_dpram_generic.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
architecture rtl of async_dpram is
6
 
7
  type mem_t is array (0 to 2**addrw_g - 1) of std_logic_vector(dataw_g-1 downto 0);
8
 
9
  signal memory           : mem_t;
10
  signal wr_addr, rd_addr : integer;
11
  signal data_out_r       : std_logic_vector(dataw_g-1 downto 0);
12
begin  -- architecture rtl
13
 
14
  wr_addr  <= to_integer (unsigned(wr_addr_in));
15
  rd_addr  <= to_integer (unsigned(rd_addr_in));
16
  data_out <= data_out_r;
17
 
18
  wr : process (wr_clk) is
19
  begin  -- process write
20
    if rising_edge(wr_clk) then         -- rising clock edge
21
      if (wr_en_in = '1') then
22
        memory(wr_addr) <= data_in;
23
      end if;
24
    end if;
25
  end process wr;
26
--      data_out_r <= memory(rd_addr);
27
  rd : process (rd_clk) is
28
  begin  -- process rd
29
    if rising_edge(rd_clk) then
30
      data_out_r <= memory(rd_addr);
31
    end if;
32
  end process rd;
33
 
34
end architecture rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.