OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.storage/] [fifos/] [synch_fifos/] [1.0/] [vhd/] [conf_ram.vhd] - Blame information for rev 145

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 145 lanttu
-- megafunction wizard: %ALTSYNCRAM%
2
-- GENERATION: STANDARD
3
-- VERSION: WM1.0
4
-- MODULE: altsyncram 
5
 
6
-- ============================================================
7
-- File Name: conf_ram.vhd
8
-- Megafunction Name(s):
9
--                      altsyncram
10
-- ============================================================
11
-- ************************************************************
12
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13
--
14
-- 5.0 Build 168 06/22/2005 SP 1.04 SJ Full Version
15
-- ************************************************************
16
 
17
 
18
--Copyright (C) 1991-2005 Altera Corporation
19
--Your use of Altera Corporation's design tools, logic functions 
20
--and other software and tools, and its AMPP partner logic       
21
--functions, and any output files any of the foregoing           
22
--(including device programming or simulation files), and any    
23
--associated documentation or information are expressly subject  
24
--to the terms and conditions of the Altera Program License      
25
--Subscription Agreement, Altera MegaCore Function License       
26
--Agreement, or other applicable license agreement, including,   
27
--without limitation, that your use is for the sole purpose of   
28
--programming logic devices manufactured by Altera and sold by   
29
--Altera or its authorized distributors.  Please refer to the    
30
--applicable agreement for further details.
31
 
32
 
33
LIBRARY ieee;
34
USE ieee.std_logic_1164.all;
35
 
36
LIBRARY altera_mf;
37
USE altera_mf.altera_mf_components.all;
38
 
39
ENTITY conf_ram IS
40
        PORT
41
        (
42
                address         : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
43
                clock           : IN STD_LOGIC ;
44
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
45
        );
46
END conf_ram;
47
 
48
 
49
ARCHITECTURE SYN OF conf_ram IS
50
 
51
        SIGNAL sub_wire0        : STD_LOGIC_VECTOR (7 DOWNTO 0);
52
 
53
 
54
 
55
        COMPONENT altsyncram
56
        GENERIC (
57
                operation_mode          : STRING;
58
                width_a         : NATURAL;
59
                widthad_a               : NATURAL;
60
                numwords_a              : NATURAL;
61
                lpm_type                : STRING;
62
                width_byteena_a         : NATURAL;
63
                outdata_reg_a           : STRING;
64
                outdata_aclr_a          : STRING;
65
                address_aclr_a          : STRING;
66
                read_during_write_mode_mixed_ports              : STRING;
67
                power_up_uninitialized          : STRING;
68
                init_file               : STRING;
69
                lpm_hint                : STRING;
70
                intended_device_family          : STRING
71
        );
72
        PORT (
73
                        clock0  : IN STD_LOGIC ;
74
                        address_a       : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
75
                        q_a     : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
76
        );
77
        END COMPONENT;
78
 
79
BEGIN
80
        q    <= sub_wire0(7 DOWNTO 0);
81
 
82
        altsyncram_component : altsyncram
83
        GENERIC MAP (
84
                operation_mode => "ROM",
85
                width_a => 8,
86
                widthad_a => 4,
87
                numwords_a => 16,
88
                lpm_type => "altsyncram",
89
                width_byteena_a => 1,
90
                outdata_reg_a => "CLOCK0",
91
                outdata_aclr_a => "NONE",
92
                address_aclr_a => "NONE",
93
                read_during_write_mode_mixed_ports => "DONT_CARE",
94
                power_up_uninitialized => "FALSE",
95
                init_file => "conf_ram.mif",
96
                lpm_hint => "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=CONF",
97
                intended_device_family => "Stratix"
98
        )
99
        PORT MAP (
100
                clock0 => clock,
101
                address_a => address,
102
                q_a => sub_wire0
103
        );
104
 
105
 
106
 
107
END SYN;
108
 
109
-- ============================================================
110
-- CNX file retrieval info
111
-- ============================================================
112
-- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
113
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8"
114
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
115
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8"
116
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
117
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "128"
118
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
119
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
120
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
121
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
122
-- Retrieval info: PRIVATE: rden NUMERIC "0"
123
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
124
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
125
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
126
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
127
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
128
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
129
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
130
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
131
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
132
-- Retrieval info: PRIVATE: REGrren NUMERIC "1"
133
-- Retrieval info: PRIVATE: REGq NUMERIC "1"
134
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
135
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
136
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
137
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
138
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
139
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
140
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
141
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
142
-- Retrieval info: PRIVATE: CLRq NUMERIC "0"
143
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
144
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
145
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
146
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
147
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
148
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
149
-- Retrieval info: PRIVATE: enable NUMERIC "0"
150
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
151
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
152
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
153
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
154
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
155
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
156
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
157
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
158
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
159
-- Retrieval info: PRIVATE: MIFfilename STRING "conf_ram.mif"
160
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
161
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "1"
162
-- Retrieval info: PRIVATE: JTAG_ID STRING "CONF"
163
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix"
164
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
165
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "0"
166
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
167
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
168
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
169
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "4"
170
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16"
171
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
172
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
173
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
174
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
175
-- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
176
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE"
177
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
178
-- Retrieval info: CONSTANT: INIT_FILE STRING "conf_ram.mif"
179
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=CONF"
180
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix"
181
-- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
182
-- Retrieval info: USED_PORT: address 0 0 4 0 INPUT NODEFVAL address[3..0]
183
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
184
-- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0
185
-- Retrieval info: CONNECT: @address_a 0 0 4 0 address 0 0 4 0
186
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
187
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
188
-- Retrieval info: GEN_FILE: TYPE_NORMAL conf_ram.vhd TRUE
189
-- Retrieval info: GEN_FILE: TYPE_NORMAL conf_ram.inc FALSE
190
-- Retrieval info: GEN_FILE: TYPE_NORMAL conf_ram.cmp TRUE
191
-- Retrieval info: GEN_FILE: TYPE_NORMAL conf_ram.bsf TRUE
192
-- Retrieval info: GEN_FILE: TYPE_NORMAL conf_ram_inst.vhd TRUE
193
-- Retrieval info: GEN_FILE: TYPE_NORMAL conf_ram_waveforms.html TRUE
194
-- Retrieval info: GEN_FILE: TYPE_NORMAL conf_ram_wave*.jpg FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.